A Comparative Study of Matlab Results and VHDL Analysis of DWT For Efficient Power Systems
A Comparative Study of Matlab Results and VHDL Analysis of DWT For Efficient Power Systems
(IJERA)
ISSN: 2248-9622
www.ijera.com
Vol. 3, Issue 2, March -April 2013, pp.276-282
ABSTRACT
Power Quality is one of the primary
concerns of the utilities, since lack of quality in
power may cause malfunctions, instability, short
lifetime and so on. The efficiency and
sustainability of a power system is highly
dependent on the maintenance of good quality of
power supply. Conventional Methods have been
used to analyze the transient effects but found to
be high resource consuming under remote
applications. In this aspect the Discrete Wavelet
Transformation (DWT) analysis has gained
reputation of being a very effective and efficient
analysis tool. VHDL is used to implement DWT
architecture for improving the efficiency of
estimation and response in the power systems.
The evaluations are compared with theoretical
results from MATLAB and were observed to be
meeting the accuracy of estimation.
1. INTRODUCTION
The electric power requirement is
increasing due to increase in demand from electrical
utilities. Since power system is AC in nature, the
power transformer is commanded as one of the most
important equipments in power system. Detecting
minor faults in power transformer has become one
of the most important requirements for extending
the power quality of the power system. In recent
years power quality is one of the primary concerns
of the utilities, since lack of quality in power may
cause malfunctions, instability, short lifetime, and
so on. In past ten years it is observed that the most
important causes which take the responsibility for
the power system failures and transformer damages
are the transformer winding deformations.
Therefore to safe guard the quality of
power it is required to check whether the strength of
the insulation of the winding can withstand for
severe faults. The withstanding capability of the
insulation can be checked by impulse test. The
standard method of impulse testing of high voltage
power transformer is associated with the problems
regarding identification of minute failures
particularly inter- turn faults. The(1) conventional
method of impulse testing of transformer is based
on the comparison of the applied voltage and the
2.
DISCRETE
TRANSFORMATION
WAVELET
276 | P a g e
Meha Sharma, rewa Sharma / International Journal of Engineering Research and Applications
(IJERA)
ISSN: 2248-9622
www.ijera.com
Vol. 3, Issue 2, March -April 2013, pp.276-282
A
H
M
P
P
P
L
L
F
H
E
F
P
P
I
Fig.2 - wavelet decomposition waveforms
F
F
277 | P a g e
Meha Sharma, rewa Sharma / International Journal of Engineering Research and Applications
(IJERA)
ISSN: 2248-9622
www.ijera.com
Vol. 3, Issue 2, March -April 2013, pp.276-282
din
M
O
Fifo
dout
F
rst
(16 x 16)
Rd/w float
r
notation
F
L
P
F
Fig. 3. Digital architecture realized for Wavelet
Transformation
Each wavelet coefficient is decomposed by
a factor of 2 before passing it to the sample RAM.
The sample RAM is developed with 12 x 16
location for holding the wavelet coefficient after
every high pass filter output.
The filter logics are realized using MAC(7)
(multiply and accumulate) operation where a
recursive addition, shifting and multiplication
operation is performed to evaluate the output
coefficients. The recursive operation logic is as
shown below.
clk
rs
t
Index
comparator
Index
(i)
Filter
coefficients
f(i)
Memory
Down
Filtered
Input
unit
sampled
coefficients
coefficient
Multiplier
Adder
coeffi-
cients
x(i)
Exp. (4)
Mantissa (11)
278 | P a g e
Meha Sharma, rewa Sharma / International Journal of Engineering Research and Applications
(IJERA)
ISSN: 2248-9622
www.ijera.com
Vol. 3, Issue 2, March -April 2013, pp.276-282
use
work.math_pack1.all;
ieee.std_logic_arith.all;
use
ieee.std_logic_unsigned.all;
use
ieee.std_logic_1164.all; entity topmodule_wb is
end topmodule_wb;
architecture
TB_ARCHITECTURE
topmodule_wb is
use
of
constant
lpcof0: real_single:=('1',"0100","00001001000");
constant
end component;
signal STIM_clk : std_logic;
lpcof1: real_single:=('0',"0100","11001010111");
constant
lpcof2:real_single:=('0',"0110","10101100010");
constant
lpcof3:real_single:=
constant hpcof0:
'0',"0101","11101110100");
begin
CLOCK_GEN_FOR_clk: process begin
if END_SIM = FALSE then TMP_clk <= '0'; wait
for 50 ns; else
wait; end if;
if END_SIM = FALSE then TMP_clk <= '1'; wait
for 50 ns;
else wait; end if;
end process;
ASSIGN_STIM_clk: STIM_clk <= TMP_clk;
ASSIGN_STIM_rst:
STIM_rst
<=
WPL.SIGNALS(TEST_PINS'pos(rst)+1);
ASSIGN_STIM_start:
STIM_start
<=
WPL.SIGNALS(TEST_PINS'pos(start)+1);
UUT: topmodule
port map(
279 | P a g e
Meha Sharma, rewa Sharma / International Journal of Engineering Research and Applications
(IJERA)
ISSN: 2248-9622
www.ijera.com
Vol. 3, Issue 2, March -April 2013, pp.276-282
=> ,
clk => STIM_clk, rst => STIM_rst, start =>
STIM_start, =>
=> ,
read1 => STIM_read1, => );
end TB_ARCHITECTURE;
end TESTBENCH_FOR_topmodule;
5. RESULT
The sampled input data and the comparison
of subsequent wavelet coefficients from MATLAB
Program, HDL code is as shown below :
coeff.
equivalent
0,1000,00011000110
0.2245
1,0011,01000011101
-0.661
1,0111,00010001000
-0.002458
0,0101,00011000001
0.124
0,0100,01000001000
0.0325
Approximate Coefficients :
Matlab
Input Data :
0.225
-0.66
-0.00232
0.124
0.0323
Decimal
HDL output (Binary)
Output from
impulse test
as input
0.21751
0.0158
0.0.365
0.0325
0.01245
coeff.
0.2254
-0.0884
-0.02154
0.2245
0.45457
equivalent
0,0100,01000010001 0.2243
1,1001,00000111000 -0.0874
1,0101,01000100000 -0.02122
0,0011,10000010001 0.2235
0,0110,00010011100 0.45435
Decimal
HDL output (Binary)
coeff.
0.15192
0.003154
0.1245
0.22545
0.003214
equivalent
0,1001,00111100110
0,0100,00010111101
0,1001,01101010110
0,0111,00010100010
0,1000,00011010001
0.15171
0.00312
0.1243
0.2233
0.003113
Decimal
HDL output (Binary)
coeff.
0.211
0.00124
1.0024
-0.036
-0.02145
equivalent
0,1010,01010111000
0,0011,00001001001
0,0100,00010111000
1,0110,00101100000
1,0100,00011111001
0.211
0.00123
1.0024
-0.035
-0.02142
Decimal
280 | P a g e
Meha Sharma, rewa Sharma / International Journal of Engineering Research and Applications
(IJERA)
ISSN: 2248-9622
www.ijera.com
Vol. 3, Issue 2, March -April 2013, pp.276-282
6. FPGA REALIZATION
The designed system is targeted onto xilinx
xc2vpx70-7-ff1704 FPGA device belonging to
virtex2p family with a speed grade of 7. The
implementation of deigned DWT processor is
illustrated in figure 9. The(10) logical routing can be
observed from the obtained Place and route result
form the FPGA Editor option in xilinx synthesizer.
It is observed that about 40% area for the targeted
FPGA is covered for the implementation of DWT
processor. Figure 10 shows the logical utilization in
each configurable logical blocks (CLB) in the
implemented FPGA. The CLBs are connected in
cascade manner to obtain the functionality for the
designed processor.
: 49
: 25
: 74
: 618
: 29
: 128
: 26
: 181
: 5.220ns
: 191.571MHz)
: 2vp100ff1696-6
281 | P a g e
Meha Sharma, rewa Sharma / International Journal of Engineering Research and Applications
(IJERA)
ISSN: 2248-9622
www.ijera.com
Vol. 3, Issue 2, March -April 2013, pp.276-282
Data version : ADVANCED,v1.0,05-28-03
Power
summary
:
I(mA)
P(mW)
-----------------------------------------------------------------Total estimated power consumption
:
204
Vccint 1.50V :
100
150
Vccaux 2.50V :
20
50
Vcco25 2.50V :
2
4
Thermal summary:
-----------------------------------------------------------------Estimated junction temperature : 25C
Ambient temp :
25C
Case temp
:
25C
The Register transfer logic (RTL) implementation
for the designed processor is shown in figure 11.
[1].
[2].
[4].
[5].
[6].
[7].
[8].
[9].
Fig 11. RTL implemented for the designed DWT
processor.
7. CONCLUSION
REFERENCES
282 | P a g e