100% found this document useful (1 vote)
553 views97 pages

CS April 2020

Uploaded by

gizer74
Copyright
© © All Rights Reserved
We take content rights seriously. If you suspect this is your content, claim it here.
Available Formats
Download as PDF, TXT or read online on Scribd
100% found this document useful (1 vote)
553 views97 pages

CS April 2020

Uploaded by

gizer74
Copyright
© © All Rights Reserved
We take content rights seriously. If you suspect this is your content, claim it here.
Available Formats
Download as PDF, TXT or read online on Scribd
You are on page 1/ 97

Market-Leading Equipment for

WAFER LEVEL OPTICS


MANUFACTURING

Enabling 3D / Depth Sensors, Biometric


Authentication and AR/VR Displays

Nanoimprint Lithography, Lens Molding / Stacking,


Wafer Bonding and Metrology

High performance equipment combined with the


EVG NILPhotonics® Competence Center
ensures short time to market

GET IN TOUCH to discuss your manufacturing needs


www.EVGroup.com EVG® HERCULES® NIL
ISSN 1752-2935 (online)

semiconductorTODAY
C O M P O U N D S & A D VA N C E D S I L I C O N
Vol.15 • Issue 2 • March 2020 www.semiconductor-today.com

Silicon carbide
foundry expands

Skyworks and Qorvo cut guidance • Transphorm raises $21m


Eta develops 4” SI GaN • Ecosense acquires Soraa’s assets
Market-Leading Equipment for
WAFER LEVEL OPTICS
MANUFACTURING

Enabling 3D / Depth Sensors, Biometric


Authentication and AR/VR Displays

Nanoimprint Lithography, Lens Molding / Stacking,


Wafer Bonding and Metrology

High performance equipment combined with the


EVG NILPhotonics® Competence Center
ensures short time to market

GET IN TOUCH to discuss your manufacturing needs


www.EVGroup.com EVG® HERCULES® NIL
3
contents
Editorial 4 semiconductorTODAY
Markets News 6 C O M P O U N D S & A D VA N C E D S I L I C O N

Smartphones production may fall 30% in first-half 2020 Vol. 15 • Issue 2 • March 2020
Microelectronics News 9
Skyworks and Qorvo reduce March-quarter guidance by about 6%
due to impact of COVID-19 • Analog Devices withdraws guidance
Wide-bandgap electronics News 12
GTAT and ON Semi sign $50m deal for supply of SiC material •
X-FAB adds in-house SiC epi • ST acquiring majority stake in Exagan
• Transphorm raises $21m; partners with Marelli • Eta develops
4-inch semi-insulating GaN wafers
Materials and processing equipment News 27
Veeco withdraws Q1 guidance • Plasma-Therm acquires JLS Designs p26 Fraunhofer IAF’s GaN transistors
• EVG sets up Heterogeneous Integration Competence Center have achieved record efficiency of
LED News 40 77.3%, enabling applications in
Ecosense acquires Soraa’s assets plasma generation for example.
Optoelectronics News 44
HKUST’s Kei May Lau receives 2020 Nick Holonyak Jr Award •
Rockley Photonics gains investment from Ahren Innovation Capital
Optical communications News 46
First bufferless 1.5mm III–V lasers grown directly on silicon wafers in
Si-photonics • QSFP-DD800 MSA group unveils initial hardware spec
Photovoltaics News 61
First Solar manufacturing operations continuing at Ohio, Malaysia
and Vietnam facilities
p36 EVG has established the
Technology focus: Photodiodes 62 Heterogeneous Integration Competence
Monolithic InAs quantum dot avalanche photodiode on silicon Center to aid customers developing
new products.
Technology focus: Photodiodes 64
III–V photodetectors integrated with SOI transistors
Market focus: Optoelectronics 68
3D imaging and sensing market growing at 20% CAGR to
$15bn in 2025
Technology focus: Optoelectronic integration 70
InP buffers on silicon for III–V laser diodes and data photonics
Technology focus: UV-LEDs 72
p49 InP DFB lasers from CST Global’s
Aluminium gallium nitride on silicon carbide for UV LEDs
InP100 platform have been integrated
Technology focus: GaN-on-silicon 74 into imec’s integrated silicon photonics
GaN-on-silicon platform for low-cost high-power electronics platform (iSiPP).
Patent focus: Power electronics 82
TSMC’s GaN-on-Si patents supporting ST’s strategic move
towards power GaN adoption in automotive use
Cover: X-FAB Silicon
Technology focus: Gallium oxide 84 Foundries is now
offering SiC foundry
Growing ε polytype gallium oxide with gallium nitride services at the scale
of silicon, becoming
Technology focus: RF electronics 86 the first pure-play
PiTrans project results in AlScN-based SAW resonators for foundry to add
smartphones internal SiC epitaxy
capabilities. X-FAB aims to further expand
Suppliers’ Directory 88 its SiC capacity at its facility in Lubbock,
TX, USA, where it has capacity of
Event Calendar and Advertisers’ Index 94 26,000 wafers per month. p15

www.semiconductor-today.com semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020


4
editorial
Covid-19 spreads uncertainty semiconductorTODAY
Since the last editorial at the end of February, when cases of Coronavirus C O M P O U N D S & A D VA N C E D S I L I C O N
globally were just surpassing those within China, the impact has transitioned
from limiting or disrupting activities to almost total suspension of non-vital Editor
Mark Telford
activities as the severity of the affect on public health has magnified. Tel: +44 (0)1869 811 577
The Optical Networking and Communication (OFC) event in San Diego Cell: +44 (0)7944 455 602
went ahead on 8–12 March despite some exhibitors withdrawing and Fax:+44 (0)1242 291 482
E-mail: mark@semiconductor-today.com
some conference delegates having to participate remotely. However, most
Commercial Director/Assistant Editor
events since then, through to at least June, have been either postponed or
Darren Cummings
cancelled, sometimes in favour of a ‘virtual’ version online (see our Tel: +44 (0)121 288 0779
Calendar on page 94). Cell:+44 (0)7990 623 395
Disruption to industry supply chains is having a severe impact in the Fax:+44 (0)1242 291 482
E-mail: darren@semiconductor-today.com
short term, and may change many things in the long-term.
Advertisement Sales
Strategy Analytics reckons that smartphone shipments fell a record 38% Darren Cummings
year-on-year in February, focused on the impact on both supply and Tel: +44 (0)121 288 0779
demand in China. In mid-March TrendForce cut its forecast for first- Cell:+44 (0)7990 623 395
Fax:+44 (0)1242 291 482
quarter 2020 smartphone production again, from 12% to 13.3% down
E-mail: darren@semiconductor-today.com
year-on-year (while also reducing its forecast for 2020 5G smartphone
Original design Paul Johnson
production from 250 million to 200 million units) - see page 7. However, www.higgs-boson.com
this was on the basis that the Coronavirus outbreak could be contained by
Semiconductor Today covers the
the end of Q2/2020, which may be optimistic. R&D and manufacturing of
In response to the impact of the Covid-19 outbreak, both RF chip makers compound semiconductor and
Skyworks and Qorvo (in the USA) have reduced their March-quarter advanced silicon materials and devices
(e.g. GaAs, InP and SiGe wafers, chips
revenue guidance by about 6% (see page 8). “The novel coronavirus and modules for microelectronic and
(Covid-19) has impacted the smartphone supply chain and customer optoelectronic devices such as RFICs,
demand more than anticipated,” noted Qorvo, adding that “given the lasers and LEDs in wireless and optical
communications, etc).
uncertainty of the magnitude, duration and geographic reach of the
outbreak, the full impact of Covid-19 remains difficult to forecast”. Also, Regular issues contain:
● news (funding, personnel, facilities,
epitaxial deposition and process equipment maker Veeco Instruments has technology, applications and markets);
withdrawn its Q1/2020 financial guidance (page 30). In contrast, further ● feature articles (technology, markets,
up the supply chain from end-users and aided by high levels of automation, regional profiles);
● conference reports;
epiwafer foundry and substrate maker IQE has been able to continue ● event calendar and event previews;
production at its sites in the UK, Taiwan, Singapore and the USA (where it ● suppliers’ directory.
is deemed a ‘critical infrastructure provider’), and has maintained its prior Semiconductor Today (ISSN 1752-2935)
guidance for Q1/2020 (page 28). However, IQE is “unable to provide more is published free of subscription charge
explicit guidance [for the rest of 2020] at this point in time”. in a digital format 10 times per year by
Juno Publishing and Media Solutions Ltd,
ABI Research forecasts that smartphone production will fall as much as Suite no. 133, 20 Winchcombe Street,
30% in first-half 2020 (see page 6). “No sooner had 5G smartphones Cheltenham GL52 2LY, UK. See:
started to gain some traction and break into the market in significant www.semiconductor-today.com/subscribe.htm
numbers, than the outbreak will now trigger a suppression of its near- © 2020 Juno Publishing and Media
term growth, pushing out the development and introduction of affordable Solutions Ltd. All rights reserved.
Semiconductor Today and the editorial
5G phones,” it notes. “This move to lower-price tiers was expected to material contained within is the copyright
become a key driver for boosting 5G smartphone shipments in 2020, but of Juno Publishing and Media Solutions Ltd.
the desired impact will now be lessened throughout the year,” it adds. Reproduction in whole or in part without
permission is forbidden. In most cases,
“In the longer term, expectations are that the outbreak will gradually permission will be granted, if the author,
come under control by end of second-quarter 2020, but it will take some magazine and publisher are acknowledged.
time thereafter for consumer confidence to return and for the device Disclaimer: Material published within
sector to recover,” it concludes. Semiconductor Today does not necessarily
More generally, Covid-19 may change some trends, perhaps boosting reflect the views of the publisher or staff.
Juno Publishing and Media Solutions Ltd
home-working/video conferencing (and hence fiber communications) and and its staff accept no responsibility for
limiting travel (and hence the automotive sector). In the meantime, opinions expressed, editorial errors and
Semiconductor Today wishes our readers well in the coming weeks. damage/injury to property or persons as
a result of material published.
Mark Telford, Editor

semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020 www.semiconductor-today.com


6
news
Smartphones production may fall 30% in first-half 2020
Whitepaper identifies short-and long-term impacts of COVID-19
The Coronavirus outbreak is but the No sooner had facturing and component supply,
expected to lead to a huge reduction desired which is contending with disruption
5G smartphones
in the production of smartphones, impact will on a massive scale, it has become
potentially falling by as much as now be started to gain clear that many in the chain were
30% in first-half 2020, reckons lessened some traction woefully unprepared to react
market advisory firm ABI Research. throughout and break into quickly,” McQueen notes.
“The ripples from China will be felt the year The full extent or lasting effect of
globally,” says David McQueen, 5G due to the
the market in COVID-19 on the mobile device
Devices Research Director at ABI. outbreak. significant ecosystem is not yet clear, but in
With China located at the epicenter Shipment numbers, than the short- to medium-term it will
of the COVID-19 outbreak, the volumes for heavily impact the smartphone
the outbreak
resultant impact has been disas- 5G smart- market. “Aside from taking its toll
trous for the global mobile device phones in will now trigger on both demand and the supply
market, which has subsequently 2020 will be a suppression chain, it will particularly affect the
seen mass disruption to its produc- much lower of its near-term industry’s eagerness to drive 5G to
tion lines and a stalling of related than previ- lower price points in 2020, seriously
supply chains caused by labor ously
growth, pushing blunting its growth potential,” says
shortages and inactive logistics. expected, out the McQueen.
As China is also the world’s manu- slowed by development He recommends that “vendors
facturing center for most of these a stagnant and introduction and suppliers fully understand their
device types, and one of its biggest supply chain exposure to all those along the
markets, the sector has been hit and crippled of affordable chain, identifying and evaluating all
hardest by delayed shipments demand. 5G phones risks related to issues like capacity
and a weakened development of “Undoubtedly, management and market demand,
next-generation products. the market will also be faced with enabling them to react accordingly
“Significantly, in the short term, numerous disruptions and delays, and mitigate the impact of any
there will be an adverse effect on most notably the launch of Apple’s future market disruptions.”
5G devices. No sooner had 5G first 5G iPhones that are due to A clearer picture of the current and
smartphones started to gain some appear in September,” he adds. future ramifications of COVID-19
traction and break into the market In the longer term, expectations across technologies and verticals,
in significant numbers, than the are that the outbreak will gradually including 5G devices, smartphones
outbreak will now trigger a sup- come under control by end of and wearables, is available by
pression of its near-term growth, second-quarter 2020, but it will downloading the whitepaper
pushing out the development and take some time thereafter for ‘Taking Stock of COVID-19: The
introduction of affordable 5G consumer confidence to return and Short- and Long-Term Ramifications
phones,” says McQueen. This move for the device sector to recover. on Technology and End Markets’
to lower-price tiers was expected to “Importantly, with such a large pro- from ABI’s website.
become a key driver for boosting portion of the world’s mobile device https://go.abiresearch.com/
5G smartphone shipments in 2020, market relying on China for manu- lp-taking-stock-of-covid-19

REGISTER
for Semiconductor Today free at
www.semiconductor-today.com

semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020 www.semiconductor-today.com


News: Markets 7

Q1/2020 smartphone production forecast cut again,


from 12% down to 13.3% down year-on-year
Full-year 2020 to drop 3.5% as COVID-19 outbreak disrupts first-half
Due to hindrances such as uneven in turn affecting the global economy yet widespread, and new appli-
factory work resumption statuses, and, by extension, smartphone cations of the technology yet remain
a generally low rate of returning sales in the overseas markets. in their infancy, consumers have
labor, and breaks in logistics and TrendForce holds a relatively taken a wait-and-see approach
transportation, the smartphone conservative outlook towards regarding 5G smartphones, notes
supply chain is continuing to feel the Q2/2020 global smartphone TrendForce. On the other hand, as
the effects of the COVID-19 out- market, with about 318 million the outbreak considerably affected
break and has not been recovering units produced, an increase the global economy, the general
as previously expected, notes quarter-on-quarter but a 7.4% public has conserved its disposable
market analyst firm TrendForce. decrease year-on-year. income, deferring demand for 5G.
The outbreak’s impact is projected TrendForce anticipates that, TrendForce is thus reducing its
to last 1–3 months, and the supply assuming the outbreak can be 2020 5G smartphone production
chain will not recover to normal contained by the end of Q2/2020, forecast from 250 million units to
levels until the second half of March smartphone demand will go into a 200 million units, with a 15%
at the earliest. So, TrendForce is noticeable upswing in second-half penetration rate in the overall
further reducing its projection of 2020. Furthermore, with the smartphone market.
first-quarter 2020 smartphone release of 5G and multi-camera Should the outbreak intensify,
production from the pre-outbreak phones, 2020 global smartphone market demand will become the
forecast of 307 million units and its production is expected to reach most important consideration in the
post-outbreak forecast (of 10 Feb- 1.35 billion units, below the pre- long-term analysis of the smart-
ruary) of 275 million units (down outbreak forecast of 1.41 billion but phone industry, says TrendForce.
12% year-on-year) to 270 million down only 3.5% year-on-year. Because of the interconnectedness
units (down 13.3% year-on-year). Slowdown of China 5G subsidies of the global economy, the progres-
In terms of Q1/2020 demand, may defer 5G handset demand sion of the outbreak is damaging
sluggish Lunar New Year sell- Notably, the government of China not only China’s GDP but also the
through in the Chinese smartphone (the leading market in terms of 5G overall global economy, leading to a
market led to excessively high development) is allocating most of cutback in consumer purchasing
stocks in the sales channels. its current budget to disease pre- power and shrinking the overall
On the other hand, the outbreak has vention and stabilization, poten- smartphone industry — including
spread to more than 70 countries tially reducing 5G telecom funding. 5G — even further, the firm adds.
since the second half of February, Also, since 5G infrastructure is not www.trendforce.com

Smartphone shipments fall a record 38% year-on-year in


February, from 99.2 million in 2019 to 61.8 million in 2020
Global smartphone shipments tum- “Some Asian factories were unable smartphone shipments overall to
bled a record 38% year-on-year in to manufacture smartphones, remain weak throughout March,”
February, from 99.2 million units in while many consumers were notes senior analyst Yiwen Wu.
February 2019 to 61.8 million in unable or unwilling to visit retail “The coronavirus scare has spread
February 2020 (compared with stores and buy new devices,” she to Europe, North America and else-
year-on-year contraction of just 3% adds. where, and hundreds of millions of
a year ago), according to the report “February 2020 saw the biggest affluent consumers are in lock-
‘Global Smartphone Monthly Ship- fall ever in the history of the world- down, unable or unwilling to shop
ments in 2020’ by the Strategy wide smartphone market,” says for new devices. The smartphone
Analytics Wireless Smartphone executive director Neil Mawston. industry will have to work harder
Strategies (WSS) service “Supply and demand of smart- than ever to lift sales in the coming
“Smartphone demand collapsed in phones plunged in China, slumped weeks, such as online flash sales or
Asia last month, due to the Covid-19 across Asia, and slowed in the rest generous discounts on bundling
outbreak, and this dragged down of the world,” he adds. with hot products like smart-
shipments across the world,” notes “Despite tentative signs of recov- watches.”
Strategy Analytics director Linda Sui. ery in China, we expect global www.strategyanalytics.com

www.semiconductor-today.com semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020


8 News: Markets

Power GaN device market grows 16% in Q4/2019


Growth driven by adoption for fast chargers in consumer electronics
The gallium nitride (GaN) power
industry has been driven by demand
from the consumer fast-charger
market, with a lot of announcements
being made by leading OEMs,
including Oppo, Xiaomi and REALme,
notes Yole Développement in
its ‘Compound Semiconductor
Quarterly Market Monitor’.
The adoption of GaN by Oppo in
fourth-quarter 2019 marks the first
milestone for volume production of
power GaN devices. Since then
numerous smartphone OEMs, such as
Samsung, Xiaomi and Realme have
integrated power GaN devices in
their accessory or inbox fast charg-
ers, the market research firm adds.
In the light of recent GaN adoption
in consumer fast-charger applications,
the power GaN device market is
estimated to have grown 16%
quarter-to-quarter in Q4/2019.
“This is only the beginning of the
power GaN market’s emergence,”
says technology & market analyst
Ezgi Dogmus. “GaN has taken an
important leap in its challenging
course and is expected to also
enter other major OEMs such as
Apple and Huawei fast chargers.
In this context, 2020 and 2021 are
important years to watch for fur-
ther market acceptance and the
speed of GaN-based high-power
changers’ proliferation.”
GaN and SiC power business:
What’s next?
The beginning of 2020 shows that
this year will be the year of GaN,
reckons Yole. But, in parallel,
silicon carbide (SiC) is continuing
its emergence. Driven mainly by
electric vehicles and hybrid electric
vehicles (EVs/HEVs), EV charging
infrastructure and industrial power
supply applications, Yole expects
SiC power discrete and modules to
play a key role in the coming years.
Power SiC market revenue is
expected to grow at over 13%
year-to-year to exceed $3bn by 2025.
www.i-micronews.com/products/
compound-semiconductor-service-
compound-monitor

semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020 www.semiconductor-today.com


News: Microelectronics 9

Skyworks and Qorvo reduce March-quarter guidance


by about 6% due to impact of COVID-19
Smartphone supply chain and customer demand hit more than expected
Both Skyworks Solutions Inc of (provided on 23 January) to our employees, customers and
Woburn, MA, USA (which makes $760–770m, and for non-GAAP partners worldwide.”
analog and mixed-signal semicon- diluted earnings per share from For its fiscal fourth-quarter 2020
ductors) and Qorvo Inc of Greens- $1.46 to $1.34. (ending 28 March), Qorvo has
boro, NC, USA (which provides core “Although COVID-19 has caused reduced its guidance for revenue by
technologies and RF solutions for no significant disruption within $50m (6%), from $800–840m
mobile, infrastructure and defense Skyworks’ manufacturing opera- (provided on 29 January) to
applications) have reduced their tions to date, the current demand $770m. “The novel coronavirus
March-quarter financial guidance in environment for our products has (COVID-19) has impacted the
response to the global spread of the been negatively impacted by inter- smartphone supply chain and
severe acute respiratory syndrome ruptions in global supply chains,” customer demand more than
coronavirus 2 (SARS-CoV-2). says president & CEO Liam K. anticipated,” says the firm.
Reflecting the unanticipated impact Griffin. “Despite this, we remain However, given the uncertainty of
that the COVID-19 outbreak is hav- upbeat about our design-win the magnitude, duration and
ing on the business environment, momentum and our ability to geographic reach of the outbreak,
for its fiscal second-quarter 2020 deliver strong profitability and the full impact of COVID-19 remains
(ending 27 March) its Skyworks has cash flow,” he adds. “As we navigate difficult to forecast, it adds.
reduced its guidance for revenue these challenges, we continue to www.skyworksinc.com
by about 5.6% from $800–820m focus on the health and safety of all www.qorvo.com

Qorvo completes acquisition of Decawave


Decawave’s CEO to lead Qorvo’s new Ultra-Wideband business unit
Qorvo has completed its acquisition Decawave was founded in 2007 and team,” comments Eric Creviston,
of Decawave Ltd of Dublin, Ireland, has deployed more than 8 million president of Qorvo Mobile Products.
a pioneer in ultra-wideband (UWB) chipsets in over 40 different market “We see tremendous opportunities
technology and a provider of UWB verticals — from smartphones to for Decawave’s technology as UWB
solutions for mobile, automotive drones. Its Impulse Radio UWB expands into new markets in
and Internet of Things (IoT) technology allows for position mobile, automotive and industrial
applications. The Decawave team accuracy of a few centimeters, and and consumer IoT,” he adds. “We
has become the Ultra-Wideband with extremely low latency. look forward to collaborating with
business unit (UWBU) within “We are excited about the growth customers to develop UWB solutions
Qorvo Mobile Products. The firm’s potential offered by UWB and the that greatly increase the accuracy
CEO Ciaran Connell will lead the opportunity to build upon the pio- and security of a host of new loc-
UWBU team as its general manager. neering work of the Decawave ation and communication services.”

Qorvo wins GTI Award for RF Fusion 5G chipset


Qorvo’s RF Fusion 5G chipset has The Global TD-LTE Initiative (GTI) Creviston, president of Qorvo’s
won the 2020 GTI Innovative is an open global association of Mobile Products Group.
Breakthrough in Mobile Technology operators and vendors dedicated RF Fusion 5G solutions support all
Award. The award recognizes to promoting the development of 5G bands and utilize E-UTRA New
Qorvo’s breakthrough innovation TD-LTE and 5G. The GTI Awards Radio — Dual Connectivity (EN-DC)
in 5G chipsets, which combines program recognizes the most out- with full standalone operation,
compact, high-performance 5G standing achievements and success including dual 5G uplink. The range
functionality with quick time to in the industry and encourages the is in full-volume production and
market for leading smartphone development of innovative products, offers high reliability and superior
manufacturers. This is the second services and applications. performance through use of its GaAs
time that Qorvo’s 5G offerings “This award underscores Qorvo’s power amplifiers and BAW filters.
have been recognized with the technology and product leadership Qorvo is supporting the launch of
GTI Award. in 5G RF front ends,” says Eric multiple new 5G handsets.

www.semiconductor-today.com semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020


10 News: Microelectronics

Anokiwave announces full commercial release


of third-generation 5G mmW IC family
Anokiwave Inc of San Diego, CA, USA Focusing on the innovation that an important step in making 5G
— which provides highly integrated allows ICs to span the 5G use case connectivity a reality,” comments
silicon core chips and III-V front-end spectrum with intelligent array Peter Rabbeni, VP Mobile and Wire-
integrated circuits for millimeter- solutions while partner Global- less Infrastructure at GlobalFoundries.
wave (mmW) markets and active Foundries provides full turnkey pro- “Our differentiated RF SOI solutions
antenna-based solutions — has duction and mmW test capabilities, provide the optimal combination of
announced commercial high-volume Anokiwave has enabled the model performance, integration and
availability of what it claims is the of handset technology high-volume power efficiency, and our turnkey
most advanced and complete port- manufacturing for all 5G use cases. services enable our clients such as
folio of silicon ICs for mmW 5G. “By harnessing the appropriate Anokiwave to bring innovative 5G
The latest generation brings a level of integration, three generations network architecture products to
complete RF signal chain solution of active-antenna IC learning and market quickly, enabling a host of new
for all mmW bands in play — cost structures only available on consumer, industrial and automotive
24/26GHz, 28GHz and 37/39GHz 300mm-diameter silicon processes, applications. Realizing the power of
— to the market while providing we have enabled total ownership for 5G will not happen without the
extensive functionality that simplifies base-stations and small cells that specialty solutions that GF delivers.”
the active antenna array design. resemble Wi-Fi access points,” says The ICs are each packaged in a
The scalable architecture underpin- chief systems engineer David Corman. small WLCSP, fitting within the
ning the mmW 5G IC family supports “Anokiwave ICs deliver the maximum small lattice spacings at mmW. For
everything from mmW 5G macro-cells number of options for performance, ease of adoption, Anokiwave offers
to small-cells to customer premises cost and functionality for mmW 5G.” evaluation kits that include boards,
equipment with a scalable architec- “The commercial availability of USB-SPI interface module with drivers
ture that supports each use case. Anokiwave’s mmW IC portfolio is and all required cables.

Anokiwave’s Gen-2 Ka/Ku-band silicon beam-former ICs for


SatComs in large-scale production at GlobalFoundries
Anokiwave has announced high- Santa Clara, CA, USA in full turnkey to ensure first-pass design success.
volume availability of its latest production and mmW test capabil- “The satellite market is growing
generation of Ku- and K/Ka-band ities, the two firms are leveraging fast and, to enable low-profile and
silicon ICs for flat-panel electronically high-volume production and tech- low-cost flat-panel active antennas,
steered antennas. The second nical learning of all mmW markets the SatCom market needs beam-
generation of SatCom ICs — into SatCom products which reduces former IC solutions that work today,
AWMF-0132/0133 and -0146/0147 risk to customers, provides the not months or a few quarters from
quad-channel, dual-polarization lowest-cost solution available, and now,” says VP of sales Abhishek
beam-former ICs — enable Ku- allows very quick time-to-market. Kapoor. “The market does not
and K/Ka-band flat-panel phased- Anokiwave’s second-generation have the appetite to wait and risk
array active antennas for SatCom SatCom beam-former ICs builds multimillion-dollar developments
ground terminals and aero equip- on its prior generation to improve with first-generation IC designs.
ment for LEO/MEO/GEO and performance, reduce cost, simplify Anokiwave’s Ku- and K/Ka-band
SatCom-on-the-move (SOTM) and thermal management, and provide SatCom ICs that build upon years
are already tested and in use on a unique digital functionality to of experience and multiple genera-
multiple live satellite links. Anoki- simplify overall system design. tions of designs have already been
wave says it is the only company Anokiwave says that, compared delivered to the market for years.
that has been commercially ship- with other firms that are just Leveraging GlobalFoundries full
ping mmW silicon beam-former ICs beginning to promise their early turnkey production and mmW test
in high volume, across SatCom stage ICs, its ICs are fully released capabilities, our new Ku- and K/Ka-
(Ku and Ka), 5G and radar bands. and have been shipping in volume band ICs are available today and
Combining Anokiwave’s innovation to tier-1 and -2 SatCom OEMS. already used in volume by multiple
that allows the ICs to span the mmW They have been used to build and tier-1 and -2 SatCom OEMs for
application spectrum with intelligent deploy radios that have been setup phased-array-based ground and
array solutions with the strength of with live satellite communication avionics equipment.”
its partner GlobalFoundries (GF) of links and offer a level of confidence www.anokiwave.com/satcom

semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020 www.semiconductor-today.com


News: Microelectronics 11

Tower’s SiGe technology adopted by Renesas


for production of SatCom RFICs
The compact and highly efficient “In migrating to AESAs from team also took advantage of the
Ku- and Ka-band active beamforming mechanical antennas, our customers flexibility and customization of the
and Low Noise Amplifier RFICs will require reliable, compact and cost- Tower process offerings to identify
enable next-generation terminals effective ICs with exceptionally low the optimal compromise between
that utilize phased array antennas power consumption and noise figure cost and performance.
for LEO, MEO and GEO satellite that meet their system EIRP “This complements the booming
communications (equivalent isotropically radiated opportunities we see for similar
Specialty foundry Tower Semicon- power) and G/T requirements,” phased-array products in 5G
ductor Ltd (which has fabrication says Naveen Yanduru, VP of RF mmWave and automotive radar
plants in Migdal Haemek, Israel, Communications, Industrial and where SiGe offers dramatically
and at its US subsidiaries in Communications Business Division lower power consumption than
Newport Beach, CA and San Antonio, at Renesas. “Thanks to the strong alternatives while preserving the
TX, and at TowerJazz Japan Ltd) collaboration between Tower Semi- ability for high levels of integration
says that its silicon germanium conductor and our world-class and low cost,” says Marco Racanelli,
(SiGe) BiCMOS platform has been design team, we are exceeding Tower Semiconductor’s senior VP
selected for the development and our customer’s expectations by all & general manager of Analog IC
production of Renesas Electronics’ metrics and progressing rapidly business unit.
beam-forming and amplifier RFICs for into production.” By some estimates, the SatCom
phased-array antenna applications. By leveraging its high-perform- market is projected to rise at a
Phased-array antennas — or, more ance SiGe BiCMOS technology, compound annual growth rate
specifically, active electronically Renesas is able to achieve unprece- (CAGR) of 10% to $50bn by 2027.
scanned arrays (AESAs) — have dented levels of integration, says In the same timeframe, the number
been a staple of the defense industry Tower. For example, the Renesas of satellites is projected to triple
for over 40 years, but are now 8-channel transmit IC has a foot- from about 8000 to 24,000, driven
rapidly emerging in the commercial print of only 2mm2 per transmit primarily by growth in NGSO
marketplace due to the exponentially channel and consumes less than communications satellites providing
growing demands for connectivity. 100mW, while delivering 10dBm of ubiquitous high-data-rate and
These antennas will be critical to output power. Several design low-latency communications. This
the enablement of high-data-rate, parameters had to be pushed to is expected to fuel a transition from
low-latency connectivity in the air, their limits to achieve these results fixed and mechanically steered
at sea and on the ground, taking and required a close collaboration antennas to electronically steered
advantage of the rapid growth in between the companies to ensure antennas, creating a significant
throughput from existing GEO and the accuracy of design models and market for beam-forming ICs.
emerging non-geostationary (NGSO) first-pass success. During early www.renesas.com/eu/en
LEO/MEO satellite networks. development, the Renesas design www.towersemi.com

Analog Devices withdraws fiscal Q2/2020 guidance


In a business update related to the outlook for its fiscal second-quarter “Throughout ADI’s 55-year
coronavirus (COVID-19) pandemic, 2020 (ending 2 May). history, we have encountered sev-
Analog Devices Inc (ADI) of “We are stepping up to combat eral ‘Black Swan’ events,” Roche
Norwood, MA, USA (which provides the COVID-19 pandemic by continues. “We will successfully
mixed-signal ICs for cable access) partnering with our customers and navigate this one as we have done
notes that the economic and social world-class healthcare organiza- before given the strength of our
effects caused by COVID-19 are tions to deploy our innovative talent, technology, and customer
creating supply-chain disruption healthcare diagnostics solutions,” and supplier relationships, in addi-
and uncertainty around future says president & CEO Vincent tion to our sound financial position.
demand. While customer demand Roche. “We remain steadfastly While our team is moving with
in the quarter has been resilient, it committed to supporting our speed and agility to embrace these
has become increasingly difficult to customers, suppliers, and partners short-term challenges, we remain
quantify and forecast the business to the best of our ability in this focused on investing in ADI for the
impact of COVID-19, the firm adds. unprecedented environment,” long term.”
As a result, ADI is withdrawing its he adds. www.analog.com

www.semiconductor-today.com semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020


12 News: Wide-bandgap electronics

CSA Catapult to coordinate Materials and Components


aspects of UK’s DER Industrialisation Centres
Network targets faster design, development and industrialization of
power electronics, electric machines and drives, focusing on SiC
The UK’s not-for-profit Compound tors and on bringing applications to cooling systems can be large,
Semiconductor Applications (CSA) life in four technology areas: power heavy and costly, this helps to
Catapult, based in South Wales, electronics, RF & microwave, reduce size, weight and expense.
says that Newport has been chosen advanced packaging and photonics. One application where this will be
as part of a national network of It works across the UK in a range of beneficial is with electric vehicles
centers (spanning Newport, Not- industry sectors from automotive (EVs) because reducing size and
tingham, Glasgow and Sunderland) to medical, and from digital com- weight leads to a longer time with-
to enable faster design, develop- munications to aerospace. out needing to re-charge, reducing
ment and industrialization of power The new DER Industrialisation ‘range anxiety’. Also, reducing
electronics, electric machines and Centre based at CSA Catapult’s expense could boost the use and
drives (PEMD) across seven sectors Innovation Centre in Newport will hence production of electric vehicles,
– including automotive, aerospace coordinate the national Materials paving the way to the UK Govern-
and energy. and Components and the South ment’s route to Net Zero carbon
Backed by £30m of UK Government Wales and South West (SW2) emissions.
funding, the ‘Driving the Electric aspects of the DER Industrialisation “The announcement of the DER
Revolution’ (DER) Industrialisation Centres. Partners currently include Industrialisation Centres’ program
Centres and their 35 partners will the Universities of Bath, Swansea, is exciting news for PEMD in the
be a base for state-of-the-art Cardiff, Bristol, Birmingham and UK. The centres will bring together
equipment and will bring together Exeter, the CSA Catapult, the UK-wide PEMD capability with
the UK’s innovators and manufactur- Compound Semiconductor Centre industry requirements to accelerate
ers in electrification R&D. The net- and a range of industrial organiza- supply chain development,” says
work aims to help to propel the UK’s tions and partnerships. Garry Wilson, Industrialisation
advanced technologies and manu- “DER Centre SW2 will focus on the Centre – SW2. “The Materials and
facturing capabilities to the fore on Materials and Component aspects Components and SW2 aspects of
a national scale and to take the UK of the national DER Industrialisation the national DER Industrialisation
one step closer to its Net Zero Centre capability and harness it to Centres’ program include world-
ambitions. help grow the UK supply chain in class capabilities in compound
Established by UK Government the relevant technologies,” says semiconductors and magnetic mat-
agency Innovate UK (which CSA Catapult’s CEO Stephen Doran. erials,” he adds. “SW2 as a region
provides funding and support for Because compound semiconductor is a global leader in a range of the
business innovation as part of UK technology, specifically silicon carbide critical PEMD technologies which
Research and Innovation), CSA (SiC), can cope with more power are applicable across all seven of
Catapult is a not-for-profit organi- than silicon, it produces less heat in the DER Challenge sectors that will
zation (headquartered in South electronics than the silicon equiva- underpin the growth in the UK
Wales) focused on accelerating the lent. SiC technology hence needs PEMD supply chain.”
adoption of compound semiconduc- less cooling and, therefore, as www.csa.catapult.org.uk

Raytheon Supplier Excellence Award for Teledyne e2v HiRel


Teledyne e2v HiRel of Milpitas, Systems business instituted the Teledyne e2v HiRel offers high-
CA, USA (a business unit of the annual Supplier Excellence Awards performance, high-reliability semi-
Teledyne Defense Electronics Group program to recognize suppliers that conductor solutions that address
that provides solutions, sub-sys- have provided outstanding service the critical functions of the com-
tems and components to the space, and partnership in exceeding cus- plete signal chain. With a range of
transportation, defense and indus- tomer requirements. Award candi- products and package solutions,
trial markets) has been chosen by dates are judged on certain criteria, HiRel caters to civil aerospace,
Raytheon’s Integrated Defense including overall quality and on-time industrial, medical, military, scien-
Systems as a recipient of its 3-Star delivery. Teledyne e2v HiRel was tific and space applications.
award for Supplier Excellence. one of 34 companies recognized for www.e2v.com/products/
Raytheon’s Integrated Defense 3-Star honors. semiconductors/power-solutions

semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020 www.semiconductor-today.com


News: Wide-bandgap electronics 13

Microchip expands SiC family of 700, 1200 & 1700V


Schottky barrier diode-based power modules
Microcontroller, mixed-signal, analog match substrate and baseplate reduce the need for snubber circuits,
and Flash-IP solution provider material into a single module — and the body diode stability allows
Microchip Technology Inc of Chan- which maximizes switching efficiency, designs to use the internal body
dler, AZ, USA has (via its Microsemi reduces thermal rise and allows for diode without long-term degradation.
subsidiary) has expanded its port- a smaller system footprint. The firm’s 30kW 3-phase Vienna
folio of silicon carbide (SiC) power “SiC technology adoption and power factor correction (PFC), SiC
modules, as demand continues to expansion is a driving force in discrete and SP3/SP6LI module drive
grow rapidly for SiC-based systems today’s system innovation and reference designs/boards provide
to maximize efficiency and reduce Microchip is at the forefront, collab- system developers tools to help
size and weight, allowing engineers orating with customers across all reduce development cycle times.
to create innovative power solutions. segments and global regions,” says The recently added AgileSwitch
Applications leveraging SiC technol- Leon Gross, VP of Microchip’s Dis- family of digital programmable gate
ogy range from electric vehicles crete Product Group business unit. drivers further supports accelerating
and charging stations to smart “Our focus continues to be deliver- the process of moving from the
power grids and industrial and ing reliable and innovative solu- design stage to production.
aircraft power systems. tions. From definition to product Microchip’s 700, 1200 and 1700V
Microchip’s SiC family includes release, our SiC technology pro- SiC SBDs power modules are
commercially qualified Schottky vides superior reliability and released and available for order.
barrier diode (SBD)-based power ruggedness, helping power system The complete SiC portfolio is sup-
modules in 700, 1200 and 1700V designers to ensure a long appli- ported by a range of SiC SPICE
variants. The new power module cation life with no degradation in models, SiC driver board reference
family includes various topologies performance,” he claims. designs and a PFC Vienna reference
including dual diode, full bridge, The flexible portfolio of 700, 1200 design. Microchip SiC products are
phase leg, dual common cathode and 1700V SiC SBD modules uses available in production volumes
and 3-phase bridge, in addition to Microchip’s new generation of SiC along with their associated support
offering different current and package die, which maximizes system relia- offerings. A variety of die and pack-
options. The addition of the new bility and ruggedness and enables age options are available for the
SiC SBD modules simplifies designs stable and lasting application life. SiC MOSFETs and SiC diodes.
by integrating multiple SiC diode The devices’ high avalanche per- www.microchip.com
die with the option to mix and formance allows system designers to /design-centers/

SK Siltron closes acquisition of DuPont’s SiC wafer unit


Silicon wafer supplier SK Siltron of Demand for power semiconductors 17% of global sales of silicon wafers
Gumi, South Korea has completed is rapidly increasing as automakers (300mm-diameter equivalent).
the acquisition of the silicon carbide are entering the electric vehicle (EV) SK Siltron has overseas subsidiaries
wafer (SiC Wafer) unit of Delaware- market and telecom companies are and offices in five locations — USA,
based DuPont Electronics & Imaging expanding ultra-fast 5G networks. Japan, China, Europe and Taiwan.
(E&I). The acquisition was decided High hardness, heat resistance and Founded in 2001, the US subsidiary
at a board meeting in September withstanding high voltages mean that sells silicon wafers to eight customers,
and closed on 29 February. SiC wafers can yield power semi- including Intel and Micron.
The $450m acquisition is regarded conductors for EVs and 5G networks SK Siltron is an affiliate of Seoul-
as a global technology investment to where energy efficiency is key. based SK Group, South Korea’s
meet demand from consumers and Through this acquisition, SK Siltron third-largest conglomerate. SK Group
governments for sustainable energy expects to maximize R&D and has made North America a global hub,
and environmental solutions. SK production capabilities and synergy with its investments in the USA in
Siltron will continue to invest in between its major businesses, while batteries for EVs, biopharmaceuticals,
related fields after the acquisition, securing new growth engines by materials, energy, chemicals and
which is expected to increase SiC entering rapidly expanding areas. ICT, reaching $5bn in investment in
wafer production and create addi- SK Siltron is South Korea’s only the USA over the past three years.
tional jobs in the USA. The primary silicon wafer maker and one of the www.sksiltron.com
site for the business is in Auburn, MI, top five worldwide, with annual sales www.dupont.com/
about 120 miles north of Detroit. of 1.542 trillion won, accounting for electronic-materials.html

www.semiconductor-today.com semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020


14 News: Wide-bandgap electronics

GTAT and ON Semiconductor sign five-year, $50m


deal for production and supply of SiC material
ON Semiconductor to use GTAT’s 150mm SiC crystal to make wafers
GT Advanced Technologies (GTAT) tronics applications,” says GTAT’s wide-bandgap market,” says Brent
of Hudson, NH, USA — which president &CEO Greg Knight. Wilson, senior VP of global supply
produces silicon carbide (SiC) and “Combining ON Semiconductor’s chain at ON Semiconductor.
sapphire material and crystal 40 years of experience in high-vol- High-growth applications such as
growth equipment for the solar, ume wafer production with GTAT’s electric vehicle (EV) traction sys-
power electronics and optoelec- expertise tems, hybrid and plug-in EVs, solar
tronics industries) — has signed a and rapid
Our agreement and energy storage, and EV charg-
five-year agreement, valued at a advance- today helps ing all require and depend on a
potential of $50m, to produce and ment in SiC address the very robust supply of high-quality and
supply its CrystX silicon carbide (SiC) crystal cost-competitive SiC material.
steep trajectory
material to power semiconductor IC growth will ON Semiconductor will use GTAT’s
supplier ON Semiconductor of create a for SiC as the proprietary 150mm SiC crystal to
Phoenix, AZ, USA, for use in high- robust and preferred make its SiC wafers, to further
growth markets and applications. scalable semiconductor accelerate its role as a vertically
“Our agreement today helps supply integrated supplier within the SiC
address the very steep trajectory for chain for
substrate supply chain and to maintain its
SiC as the preferred semiconductor the dynamic material for supply.
substrate material for power elec- high-power power electronics www.gtat.com

ON Semiconductor launches 900V and 1200V SiC MOSFETs


for demanding applications
ON Semiconductor of Phoenix, AZ, device capacitance and reduced “If design engineers are to meet
USA — which supplies power man- gate charge Qg (as low as 220nC), the challenging efficiency and
agement, analog, sensors, logic, reducing switching losses when power density goals that modern
timing, connectivity, discrete, operating at high frequencies. renewable energy, automotive, IT
system-on-chip (SoC) and custom These enhancements improve and telecom applications demand,
devices — has expanded its range efficiency, reduce EMI compared then they require high-performance,
of wide bandgap (WBG) devices with Si-based MOSFETs, and allow high-reliability MOSFET devices,”
with the introduction of two addi- for the use of fewer (and smaller) says Gary Straker, VP/general
tional families of silicon carbide passive components. The robust manager, Power MOSFET Division,
(SiC) MOSFETs for demanding SiC MOSFETs offer higher surge in ON Semiconductor’s Power
high-growth applications including ratings, improved avalanche capa- Solutions Group. “ON Semiconduc-
solar power inverters, on-board bility and improved short-circuit tor’s WBG SiC MOSFETs extend
charging for electric vehicles (EV), robustness compared with silicon performance beyond what was
uninterruptible power supplies devices, delivering the higher reli- possible with silicon devices, deliv-
(UPS), server power supplies and ability and longer lifetimes that are ering lower losses, higher operat-
EV charging stations. essential in demanding modern ing temperatures, faster switching,
ON Semiconductor says that the power applications. A lower for- improved EMI and better reliability,”
new 1200V and 900V N-channel ward voltage provides threshold- he adds. “Further supporting the
SiC MOSFETs deliver faster switching free on-state characteristics that engineering community, ON Semi-
performance and enhanced relia- reduce the static losses that occur conductor provides a wide range of
bility compared with silicon. A fast when the device is conducting. resources and tools that simplify
intrinsic diode with low reverse 1200V devices are rated at up to and speed up the design process.”
recovery charge delivers a signifi- 103A (ID maximum), while 900V All of ON Semiconductor’s SiC
cant reduction in power losses, devices carry ratings as high as MOSFETs are Pb-free and halide-
boosts operating frequencies, and 118A. For applications requiring free, and the devices intended for
increases the power density of the higher currents, ON Semiconduc- automotive applications are AEC-
overall solution. tor’s MOSFETs can be operated in Q100 qualified and PPAP capable.
High-frequency operation is parallel due to their positive tem- All devices are offered in industry
further enhanced by the small perature coefficient/temperature standard TO-247 or D2PAK packages.
chip size, which leads to a lower independence. www.onsemi.com

semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020 www.semiconductor-today.com


News: Wide-bandgap electronics 15

X-FAB further expands SiC capacity and


adds new in-house epitaxy capabilities
In-house control of epi process to speed lead times and
boost performance and yield
Analog/mixed-signal, micro- Through the new epitaxy toolset integrated device manufacturers
electro-mechanical system (MEMS) (which comes with an option for (IDMs), adds the firm.
and specialty foundry X-FAB dual epilayer implementations), “We have already demonstrated
Silicon Foundries SE of Tessenderlo, X-FAB should be able to achieve our SiC onboarding credentials, with
Belgium is now offering silicon carbide greater epilayer uniformity, increas- numerous high-volume projects for
(SiC) foundry services at the scale ing device performance parameters diodes, metal-oxide-semiconductor
of silicon, becoming the first pure- and overall yield. The firm is also field-effect transistors (MOSFETs) and
play foundry to add internal SiC undertaking further investments in junction field-effect transistors (JFETs)
epitaxy capabilities. The firm says characterization tools to improve all currently running, and these are
that, with its proven ability to run the epilayer quality, and is working paving the way towards mass-
silicon and SiC on the same manu- with substrate manufacturers to market adoption,” says Ed Pascasio,
facturing line, customers have ensure the long-term continuity of chief financial officer at X-FAB Texas.
access to high-quality and cost- supply for essential raw materials. “By making even more capacity
effective foundry. X-FAB aims to With X-FAB’s site in Lubbock available for SiC, we will be able to
further expand its SiC capacity and, focused on serving the SiC market, keep up with demand requirements
with the 26,000 wafers per month the company is prepared for the as this technology matures. Also,
capacity at its facility in Lubbock, expected acceleration of SiC device with all the required epitaxy expert-
TX, USA, has the platform to meet shipments, enabling key appli- ise now located internally, X-FAB is
growing customers demand, it cations such as electric vehicles in a unique position to control every
reckons. (EVs) and advanced power man- aspect of SiC production,” he adds.
By offering in-house epitaxy, agement systems. It will allow cus- “Our engineering team has direct
X-FAB is taking control of an addi- tomers to import their SiC projects influence across the whole process,
tional part of the process chain. into a stable and trusted, fully and this will translate into best-value
This should result in better lead automotive-qualified fab environ- performance and quality as well as
times, so customers’ products are ment that supports output levels more attractive price points.”
faster in getting to market. that are comparable with those of www.xfab.com

Infineon adds D2PAK real 2-pin packages to CoolSiC


Schottky diode family
Infineon Technologies AG of The new Available for order now, the CoolSiC
Munich, Germany has expanded its devices use Schottky diodes 1200V G5 are rated
silicon carbide CoolSiC Schottky Infineon’s from 2A to 20A and represent what
diode 1200V portfolio by adding six CoolSiC Schot- is claimed to be the broadest port-
devices in D2PAK real 2-pin packages. tky diode folio in a D2PAK real 2-pin package.
Using SMD packages, designs can 1200V technol- By using the new SiC diodes in the
be more compact and more cost ogy G5, which D2PAK real 2-pin package, designers
effective. Moreover, the new D2PAK offers what is are able to reach a new level of
real 2-pin package eliminates the claimed to be power density and reliability com-
middle pin to offer 4.7mm creep- best-in-class forward voltage and pared with silicon solutions, says
age and 4.4mm clearance distance. high surge current capability. the firm. Combined with other
Compared with a standard D2PAK Additionally, it prevents reverse Infineon products, such as CoolSiC
package this enhances safety mar- recovery losses and allows for MOSFETs 1200V or TRENCHSTOP
gins. The diodes are a suitable fit temperature-independent switching 1200V IGBT6 and EiceDRIVER
for applications such as industrial behavior. These features can gate driver ICs, the new portfolio
power supplies, and DC charging streamline designs with lower offers a complete solution for
stations, uninterruptable power cooling requirements and smaller high-efficiency designs.
supplies (UPS) and solar string magnetics when used at higher www.infineon.com/sicdiodes1200v
inverters. switching frequency. www.infineon.com/coolsic

www.semiconductor-today.com semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020


16 News: Wide-bandgap electronics

Nexperia partners with Ricardo to produce


technology demonstrator for GaN-based EV inverter
Nexperia’s AEC-Q101-approved GaN FETs to be designed into
inverter and trialled through Ricardo
Nexperia BV of Nijmegen, Nether- used in plug-in hybrids or full battery automotive designers will be inter-
lands (which manufactures discrete electric cars, adds the firm. ested in having a look at,” he adds.
and MOSFET components and Last year Nexperia launched a “Semiconductor technology is key
analog & logic ICs) has announced a range of AEC-Q101-approved to the efficiency of the inverter
partnership with global transporta- GaN devices, providing automotive system and the role that it plays in
tion technology company Ricardo to designers with a wider portfolio of the performance and efficiency of
produce a technology demonstrator proven, reliable devices, providing an electrified vehicle,” says Adrian
for an electric vehicle (EV) inverter the power density required for Greaney, director - technology &
based on gallium nitride (GaN) electrification of the powertrain. products, Ricardo. “By delivering
technology. Ricardo designs and consults on significant benefits in terms of the
Nexperia says that GaN is the pre- concepts within the automotive switching speed and efficiency,
ferred switch for these applications industry, including the manufacture gallium nitride is a real enabling
as GaN FETs lead to systems with of prototypes and demonstrations, technology. As well as leading to
greater efficiencies at lower costs and has collaborations with high- increased range, it allows us to
with improved thermal performance profile brands such as McLaren and reduce the package size and weight
and simpler switching topologies. Bugatti. of the inverter, which provides
In automotive terms this means “By designing our GaN devices greater powertrain design flexibility
that the vehicle has a greater range into an inverter and trialling them as well as contributing to vehicle
— the major concern for EV through Ricardo, we will be able to mass reduction,” he adds. “There
purchasers. GaN is on the brink of better understand how a vehicle can are also many associated benefits
replacing silicon carbide (SiC) or be driven safely and reliably,” says when we look at the design from a
silicon-based insulated-gate bipolar Michael LeGoff, general manager system level.”
transistors (IGBTs) as the preferred GaN, Nexperia. “We are developing www.nexperia.com/gan-fets
technology for the traction inverters a real solution that I think a lot of www.ricardo.com

SweGaN grows revenue 300% year-on-year in 2019


SweGaN AB of Linköping, Sweden, Thin GaN Transistors’ in the journal development and sales. Since
which manufactures custom Applied Physics Letters, volume 2006, she has run her own
gallium nitride on silicon carbide 115, issue 22, showed the mater- management consulting company
(GaN-on-SiC) epitaxial wafers ial’s unique very high electrical and has served on several boards of
(based on unique growth technology) breakdown voltage, making it directors during the last 14 years.
for RF and power electronics devices, suitable for power devices. ● Richard is a co-founder and
has reported revenue growth of “In 2020, we will continue focusing managing director of Mount Wilson
300% year-on-year in 2019. on ground-breaking product devel- Ventures, an early-stage venture
“2019 was an outstanding year for opment, building our manufacturing fund that invests in hard-science
SweGaN, with a doubling of com- capabilities and further energizing companies rooted in biology,
mercial orders and collaboration in our global network of customers and chemistry, materials science and
multiple prestigious EU projects,” strategic partners,” says Kordina. data science. He is an experienced
says chief executive officer In addition, SweGaN has further finance and operating professional
Olof Kordina. strengthened its board of directors with a background in start-up growth,
The company’s technology was with the introduction of new financing and restructuring; banking;
highlighted in the largest Swedish members Agneta Franksson and and management consulting.
technical magazine Ny Teknik, Richard Weil at its annual meeting “Agneta and Richard bring a
which selected SweGaN as one of on 12 February: wealth of specialized experience,
the most promising and innovative ● Agneta Franksson has an M.Sc. valuable assets to the board of
young companies. Also, a featured in Electrical Engineering and directors in further guiding SweGaN
article ‘Transmorphic Epitaxial extensive experience from several in its long-term strategy and
Growth of AlN Nucleation Layers on CEO positions and over 25 years’ growth,” comments Kordina.
SiC Substrates for High-Breakdown experience in R&D and business www.swegan.se

semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020 www.semiconductor-today.com


News: Wide-bandgap electronics 17

VisIC launches 100kW motor


inverter reference design for
800V power-bus based on D3GaN
VisIC Technologies Ltd of Nes Ziona,
Israel — a fabless developer of
power conversion devices based on
gallium nitride (GaN) metal-insulator-
semiconductor high-electron-
mobility transistors (MISHEMTs) for
the automotive, data-center and
industrial markets — has cooperated
with the University of Texas at Austin
to develop a 100kW inverter refer-
ence design that can be used as a The developed 100kW 800V GaN-EV
base for a 800V power-bus motor inverter.
inverter in electric vehicle (EV),
industrial, PV and other applications. including the liquid cooling. The
Wide-bandgap (WBG) power tech- total weight is about 2.5kg.
nology is fast becoming the tech- “Previously GaN has demon-
nology of choice to replace silicon in strated its superior performance in
EV motor drives to achieve better achieving high power density for
efficiency. It is commonly viewed chargers,” says Dr Alex Huang,
that GaN technology will be used director of The Semiconductor
primarily in the 400V power-bus Power Electronics Center at Univer-
due to its lower cost compared sity of Texas at Austin. “Thanks to
with other WBG technologies, and VisIC GaN’s superior packaging
silicon carbide (SiC) is commonly concept and low losses, we believe
used in the high-voltage 800V high power density can also be
power-bus for higher-power appli- achieved in very high-power appli-
cations. VisIC says that the new cation such as traction inverters,”
100kW inverter reference design he comments.
proves that GaN technology can “We are very happy with the coop-
also be used in the 800V power-bus eration with University of Texas as
applications, producing the most they’ve shown professional power
cost-effective solution for both the design and innovative system solu-
400V and 800V EV power-bus. tion,” says VisIC’s chief technology
Based on VisIC’s unique D3GaN officer Gregory Bunin. “This break-
technology, the 100kW inverter through will also enable the 800V
reference design can be adapted to EV power-bus to benefit from the
work both under 800V and 900V GaN low-cost and high-efficiency
power-bus. The firm says that the technology and provide cost-effec-
GaN devices have highly thermally tive EV cars.”
efficient SMD packaging, high As the IEEE Applied Power Elec-
threshold voltage, fast switching tronics Conference and Exposition
and easy paralleling for what is (APEC 2020) in New Orleans
claimed to be most cost-effective, (15–19 March) was cancelled, the
highly efficient and reliable inverter inverter reference design is now
solution for EVs. planned to be displayed in booth
The estimated peak efficiency can 9-137 at PCIM 2020 (Power Con-
reach 99.3% with 40kHz switching version and Intelligent Motion) in
frequency, due to the low switching Nuremberg, Germany (28–30 July).
losses of D3GaN devices. The total www.visic-tech.com
dimension is 26.9cm x 21.4cm www.spec.ece.utexas.edu
x3.5cm with liquid cooling heatsink. https://pcim.mesago.com/
The power density is 50kW/liter nuernberg/en.html

www.semiconductor-today.com semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020


18 News: Wide-bandgap electronics

EPC launches first product in new ePower Stage IC family


Efficient Power Conversion Corp the PCB, and increase efficiency,
(EPC) of El Segundo, CA, USA — the firm adds.
which makes enhancement-mode “Discrete power transistors are
gallium nitride on silicon (eGaN) entering their final chapter. Integ-
power field-effect transistors (FETs) rated GaN-on-silicon offers higher
for power management applications performance in a smaller footprint
— has launched an 80V, 12.5A with significantly reduced engi-
power stage integrated circuit neering required,” says CEO &
designed for 48V DC–DC conversion co-founder Alex Lidow. “This new
used in high-density computing and family of integrated power stages is
in motor drives for e-mobility. an equivalent multi-chip discrete the next significant stage in the
The EPC2152 is a single-chip implementation, it is reckoned. evolution of GaN power conversion,
driver plus eGaN FET half-bridge The EPC2152 is the first offering in from integrating discrete devices to
power stage using the firm’s propri- what will be a wide-range family of more complex solutions that offer
etary GaN IC technology. Input integrated power stages available in in-circuit performance beyond the
logic interface, level shifting, boot- chip-scale package (CSP) as well as capabilities of silicon solutions and
strap charging and gate drive buffer multi-chip quad flat modules (QFM). enhance the ease of design for
circuits along with eGaN output Within a year the family will fill out power systems engineers.”
FETs configured as a half-bridge are with products capable of operating The EPC90120 development board
integrated within a monolithic chip. at high frequency up to 3–5MHz is a 80V maximum device voltage,
This results in a chip-scale LGA range as well as high current from 12.5A maximum output current,
form-factor device that measures 15A to 30A per power stage. half-bridge featuring the EPC2152
only 3.9mm x 2.6mm x 0.63mm. The family of products makes it Integrated ePower Stage. This
When operated in a 48V-to-12V easy for designers to take advan- 2” x 2” (50.8mm x 50.8mm) board
buck converter at 1MHz switching tage of the significant performance is designed for optimal switching
frequency, the EPC2152 ePower improvements made possible with performance and contains all critical
Stage achieves a peak efficiency GaN technology, says EPC. Integ- components for easy evaluation of
above 96% with a solution that is rated devices in a single chip are the EPC2152 Integrated ePower
33% smaller in size on the printed easier to design, easier to layout, Stage.
circuit board (PCB) compared with easier to assemble, save space on www.epc-co.com

Comtech wins $8.8m contract for Ka-band SSPAs


Comtech Telecommunications Corp received a contract worth over continue to expand our presence in
of Melville, NY, USA says that, during $8.8m for Ka-band solid-state this growing market.”
its fiscal second-quarter 2020, its amplifiers to be used in an in-flight Comtech Xicom’s product range
subsidiary Comtech Xicom Technol- connectivity satcom application. spans power levels from 8W to
ogy Inc of Santa Clara, CA — a part “We have incorporated the latest 3kW, with frequency coverage in
of Comtech’s Commercial Solutions GaN solid-state technology for use sub-bands within the 2–52GHz
segment that makes tube-based in a new cabin external application,” spectrum. Amplifiers are available
and solid-state power amplifiers says chairman & CEO Fred Kornberg. for fixed and ground-based, ship-
(SSPAs) for satellite communication “We have shipped over 2000 air- board and airborne mobile use.
(SATCOM) uplink applications — borne amplifiers to date, and we www.xicomtech.com

GaN Systems named in 2020 Global Cleantech 100 list


GaN Systems Inc of Ottawa, guide to the leading companies and research data with qualitative
Ontario, Canada (a fabless devel- themes in sustainable innovation, judgements from nominations and
oper of gallium nitride-based the 2020 Global Cleantech 100 fea- insight from a global 80-member
power switching semiconductors tures the private, independent and expert panel of leading investors
for power conversion and control for-profit companies best positioned and executives from corporations
applications) has been named by to contribute to a more digitized, and industrials active in technology
Cleantech Group in its 2020 Global de-carbonized and resource-efficient and innovation scouting.
Cleantech 100 list of companies. industrial future. www.gansystems.com
As the 11th edition of the annual The list combines Cleantech Group’s www.i3connect.com/gct100/the-list

semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020 www.semiconductor-today.com


News: Wide-bandgap electronics 19

JEDEC publishes ‘Guideline for


Switching Reliability Evaluation
Procedures for Gallium Nitride
Power Conversion Devices
The JEDEC Solid State Technology of GaN, especially in automotive and
Association (which develops industrial markets where efficiency,
standards for the microelectronics power density and reliability matter
industry) has announced the the most,” says Dr Stephanie Watts
publication of JEP180: Guideline Butler, GaN technology innovation
for Switching Reliability Evaluation architect at Texas Instruments and
Procedures for Gallium Nitride the chair of JC-70.
Power Conversion Devices. Devel- “This latest guideline covers
oped by JEDEC’s JC-70 Committee switching reliability and helps
for Wide Bandgap Power Electronic assure successful usage of GaN
Conversion Semiconductors, devices in a wide range of appli-
JEP180 is available for free down- cations by addressing one of the
load from the JEDEC website. key topics identified by our commit-
To enable the adoption of GaN tee members,” says Tim McDonald,
power transistors, both reliable senior advisor to Infineon’s CoolGaN
operation in power conversion program and the chair of the JC-70.1
applications and switching lifetime subcommittee. “We continue in our
need to be demonstrated, says work to build a full coverage of
JEDEC. Existing tests for silicon guidelines and standards for use of
power transistors do not necessarily both GaN and SiC devices.”
validate operation under actual-use Formed in October 2017 with
conditions of power conversion 23 member companies, JC-70 now
equipment and may not be applica- has over 60 member companies,
ble for GaN power transistors. underscoring industry interest in
To address this need, JEP180 is the development of universal stan-
intended for use by manufacturers dards to help advance the adoption
of GaN power transistors and of wide-bandgap (WBG) power
power conversion equipment. For technologies. Global multi-national
the first time since the introduction corporations and technology
of GaN power transistors, JEP180 startups from the USA, Europe,
will enable manufacturers to evalu- Middle East and Asia are working
ate the switching reliability of GaN together to bring to the industry a
power transistors and to assure set of standards for reliability, test-
their robustness at the technology ing and parametrics of WBG power
level and in power conversion semiconductors. Committee mem-
applications. The document pro- bers include industry leaders in
vides guidelines for Switching power GaN and SiC semiconductors,
Accelerated Life and Dynamic High- as well as prospective users of
Temperature Operating-Life tests wide-bandgap power devices, and
that are applicable to GaN planar test & measurement equipment
enhancement-mode, depletion- suppliers. Technical experts from
mode, cascode power transistors, universities and national labs also
and integrated power solutions. provide input.
JEP180 was developed over a JEDEC says that interested
period of more than two years by companies worldwide are welcome
experts from leading GaN power to join it to participate in this
device manufacturers. standardization effort. JC-70 plans
“This new guideline provides engi- to hold four committee meetings in
neers a robust evaluation of switch- 2020.
ing behavior, which will further www.jedec.org/standards-
accelerate industry-wide adoption documents/docs/jep180

www.semiconductor-today.com semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020


20 News: Wide-bandgap electronics

Marelli partners with Transphorm


Automotive supplier Marelli has and final consumers, Marelli reckons. critical to ensure the very highest
announced a strategic partnership Marelli and Transphorm believe the performance of electric vehicles at
with Transphorm Inc of Goleta, CA, partnership will enable knowledge a lower cost,” says Joachim Fetzer,
USA, which designs and makes and information exchange for new CEO, Electric Powertrain, Marelli.
JEDEC- and AEC-Q101-qualified automotive/EV power conversion “This partnership allows us to work
650V and 900V GaN FETs for high- solutions including on-board charg- with the Transphorm team to shape
voltage power conversion appli- ers (OBCs), DC–DC converters and and improve products that will
cations. The partnership will enable powertrain inverters. Working ultimately ensure improved per-
Marelli to gain access and insights alongside Transphorm engineers, formance, efficiency of power elec-
into technologies for the develop- Marelli engineers will use their tronics and ultimately lower the
ment of electric vehicles (EVs), experience in manufacturing to cost of electric vehicles,” he adds.
in particular power converters, advise and guide on product devel- “Automotive and EVs represent
on-board chargers and inverters. opment relevant to its ongoing one of the largest opportunities for
Transphorm has direct experience investment in the development of GaN in power conversion, and our
with GaN in the automotive sector, e-powertrain solutions for electric partnership with a global leader like
especially Japan. So, Marelli reckons vehicles and also for motorsport Marelli is a strong testament to the
access to their technologies will be applications. For such joint devel- quality, reliability, manufacturing and
of strategic benefit, as it looks at ways opment and co-working of engi- overall product performance of our
to grow through innovation within neers, Transphorm will exclusively GaN solutions,” says Transphorm’s
its Electric Powertrain business. cooperate with Marelli for two years, co-founder & chief operating officer
Direct investment in such power to enable the development of new Primit Parikh. “The long-term inno-
electronics will mean a substantial technologies for electric vehicles. vative system-level vision of the
step in the evolution of electric “Electric vehicle power conversion Marelli Electric Powertrain team will
powertrains, with higher efficiency is fundamentally important to the be extremely valuable in furthering
and lower system costs, eventually future of electric vehicles and invest- GaN in the electric vehicle.”
resulting in benefits for customers ment in technologies like this are www.marelli-corporation.com

Transphorm’s GaN FETs used in HZZH’s 98%-efficient power module


Transphorm says that Hangzhou leaved bridgeless totem-pole PFC The existing Gen III family offers
Zhongheng Electric Co Ltd (HZZH) to achieve 98% efficiency at half what is claimed to be the GaN
has developed an ultra-efficient, load. The GaN devices lower the semiconductor industry’s highest
GaN-based power module. The power module’s switching and threshold voltage at 4V and high-
3kW ZHR483KS uses Transphorm’s driving losses, leading to the est gate robustness at ±20V.
GaN devices to reach 98% effi- ZHR483KS outperforming preceding These features enable customers
ciency, making it the telecoms modules that used superjunction to easily design in highly reliable
industry’s most efficient GaN-pow- silicon MOSFETs. GaN solutions to gain the technol-
ered module to date, it is reckoned. “We sought a power transistor ogy’s high-power density benefits.
Original design manufacturers that would enable us to develop a “Transphorm develops each
(ODMs) can swap the ZHR483KS more efficient yet cost-effective generation of its GaN platform with
— which offers standardized out- solution for our customers,” says four key factors in mind: reliability,
put connector configurations — HZZH’s chief technology officer Dr drivability, designability, and
with existing same-wattage power Guo. “We considered silicon carbide reproducibility,” says Kenny Yim,
modules to achieve a high-reliability, devices but could not achieve the VP of Asia sales. “We’re proud that
higher-performing solution at a desired advantages at low voltages. HZZH selected us as its GaN partner
lower overall system cost. We then vetted several GaN man- as it affirms that those four factors
Currently in production, the ufacturers’ devices, and ultimately are what our customers need to
ZHR483KS is HZZH’s first GaN- selected Transphorm’s GaN FETs disrupt their markets. They result
based power solution and is the due to their reliability, device cost, in our GaN being designed into a
flagship product for a new product and simple implementation.” wide range of multi-kilowatt power
line. The module’s input voltage Transphorm’s GaN FETs are two- systems that are setting industry
ranges from 85V to 264V, while its chip normally-off devices available records. We anticipate HZZH will
output voltage ranges from 42V to in standard TO-XXX packages and continue to innovate as our collabor-
58V. Transphorm’s TPH3205WS PQFN modules that can be driven ation continues on future products.”
GaN devices are used in an inter- with common off-the-shelf drivers. www.hzzhpower.com

semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020 www.semiconductor-today.com


News: Wide-bandgap electronics 21

Transphorm raises $21m and


completes reverse merger
New financing to support and accelerate
product development, manufacturing and
sales of GaN power products
Transphorm Inc of Goleta, near to the firm’s analysis, amounts to
Santa Barbara, CA, USA — which an accessible total available market
designs and manufactures JEDEC- (TAM) for GaN of about $3.1bn in
and AEC-Q101-qualified 650V gal- 2024. Factoring in GaN market
lium nitride (GaN) field-effect tran- adoption rates, market research
sistors (FETs) for high-voltage firm Yole Développement predicts
power conversion applications — robust growth and that GaN power
has raised $21.5m in a private device revenues may approach
placement equity financing. Prior to $400m by 2023.
the financing, Transphorm Technol- The new equity financing will
ogy Inc completed a reverse merger “support and accelerate our prod-
with Peninsula Acquisition Corp uct development, manufacturing,
(a public Delaware corporation) and sales for our GaN power solu-
whereby Transphorm became a tions,” says CEO Mario Rivas. “The
wholly owned subsidiary of Peninsula. success of this financing demon-
Following the merger, Peninsula strates confidence and support in
changed its name to Transphorm Transphorm’s team, technology and
Inc and will continue the historical products by both our current part-
business of Transphorm. Previous ners as well as our new investors,”
members of Transphorm’s board he believes.
David Kerko, Eiji Yatagawa, “Our core capabilities in GaN epi-
Brittany Bagley, Mario Rivas and taxy, design, process and circuit
Dr Umesh Mishra will remain as applications have positioned us well
directors. to innovate and address the power
Transphorm has developed, and is conversion systems needs of our
in the market with, multiple fami- customers,” reckons co-founder &
lies of products that are the build- chief operating officer Dr Primit
ing blocks for an array of power Parikh. “We have created an integ-
converter and inverter systems. rated device model [including
The firm’s GaN products switch design, fabrication, device and
faster than traditional silicon-based application support] and developed
solutions and provide higher effi- highly reliable, high-performance
ciency with increased system GaN device technology, as well as
power density while enabling sys- amassed one of the largest intellec-
tem size reduction. tual property portfolios in the GaN
Transphorm says that it has begun power industry,” he adds.
to see meaningful customer adop- The financing was led by existing
tion of its GaN products in power investors including an affiliate of
adapters/fast chargers, power sup- Kohlberg Kravis Roberts & Co L.P.
plies for data centers, communica- (KKR), a new strategic investor
tion infrastructure and broad Marelli and new prominent institu-
industrial applications, and that it tional investors. B. Riley FBR Inc
continues to see heightened inter- (member FINRA/SIPC) was the
est from automotive electric vehicle lead placement agent and Craig-
(EV) suppliers for chargers, con- Hallum Capital Group LLC was the
verters and inverters. This is in-line co-placement agent. Montrose
with the ongoing overall adoption Capital Partners was the sponsor
of GaN solutions in power conver- for the transaction.
sion applications which, according www.transphormusa.com

www.semiconductor-today.com semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020


22 News: Wide-bandgap electronics

ST to acquire majority stake in Exagan


Acquisition to accelerate ST’s GaN expertise, roadmap and business
for high-frequency, high-power automotive, industrial and consumer
applications
STMicroelectronics of Geneva, the remaining minority stake in applications such as power factor
Switzerland has signed an agree- Exagan 24 months after the closing correction (PFC) and DC/DC con-
ment to acquire a majority stake in of the acquisition of the majority verters in servers, telecom and
gallium nitride on silicon (GaN-on- stake. The transaction is funded industrial applications, on-board
Si) technology start-up Exagan of with available cash. chargers for electric vehicle (EV)
Grenoble, France (founded in 2014 “ST has built strong momentum in and DC–DC converters for automo-
with support from CEA-Leti and silicon carbide and is now expand- tive applications, as well personal
Soitec). Exagan’s expertise in epi- ing in another very promising com- electronics applications like power
taxy, product development and pound material, gallium nitride, to adaptors.
application know-how will broaden drive adoption of the power prod- “The acquisition of a majority
and accelerate ST’s power GaN ucts based on GaN by customers stake in Exagan is another step for-
roadmap and business for automo- across the automotive, industrial ward in strengthening our global
tive, industrial and consumer appli- and consumer markets,” says ST’s technology leadership in power
cations. Exagan will continue to president & CEO Jean-Marc Chery. semiconductors and our long-term
execute its product roadmap and In power electronics, GaN-based GaN roadmap, ecosystem and
will be supported by ST in the devices provide high-frequency business,” says Chery. “It comes in
deployment of its products. operation, with increased efficiency addition to ongoing developments
Closing of the acquisition remains and higher power density com- with CEA-Leti in Tours, France, and
subject to customary regulatory pared with silicon-based transis- the recently-announced collabor-
approvals from French authorities. tors, leading to power savings and ation with TSMC.”
The signed agreement also pro- total system downsizing. GaN prod- www.st.com
vides for the acquisition by ST of ucts can address a wide variety of www.exagan.com

Power Integrations expands range of InnoSwitch3 ICs


incorporating robust 750V GaN transistors
Power Integrations of San Jose, CA, Labeling Regulation.
USA, which provides high-voltage “We have experienced increased
integrated circuits for energy-effi- demand for our efficient AC–DC
cient power conversion, has converter ICs with the highly robust
expanded its InnoSwitch3 families 750V GaN transistor,” notes Chris
of offline CV/CC flyback switcher Lee, director of product marketing.
ICs. The new INN3x78C devices “Simultaneous electrical strength
incorporate a smaller ‘size 8’ 750V and efficiency are difficult to
PowiGaN transistor, enabling com- achieve due to the relationship
pact, efficient power supplies deliv- between silicon MOSFET break-
ering 27–55W without heatsinks. down voltage and COSS-related
The ICs are housed in the same switching loss,” he adds. “Our elec-
high-creepage, safety-compliant InnoSwitch3 IC incorporating PowiGaN. trically strong PowiGaN transistors
InSOP-24D package as larger have very low COSS, so achieving
members of the GaN-based This enables OEMs to specify a sin- over 94% efficiency and low field
InnoSwitch3 families, which target gle power supply design to be used return rate from tropical markets is
up to 120W. worldwide. Applications include very straightforward.”
With efficiency of up to 94% USB PD (power delivery) and high- The new InnoSwitch3 ICs are
across line and load, PowiGaN tech- current chargers/adapters for available now with InnoSwitch3-CP
nology is also extremely robust, mobile devices, as well as set-top and InnoSwitch3-EP priced at
making them highly resistant boxes, displays, networking and $2.95 in 10,000 quantities, and
against line surges and swells com- gaming products and appliances — InnoSwitch3-Pro priced at $3.25 in
monly seen in regions with unsta- especially those aiming to comply 10,000 quantities.
ble mains voltage, says the firm. with the planned European Energy www.power.com/innoswitch3

semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020 www.semiconductor-today.com


News: Wide-bandgap electronics 23

Eta develops 4” semi-insulating GaN wafers


Eta Research of Lingang Free Trade and typically 50–60 arcsec. The exclusively producing GaN HEMTs
Zone, Shanghai, China, which was dislocation density has been meas- on silicon carbide (SiC) substrates.
founded in 2015 to develop free- ured by cathodo-luminescence (CL) GaN-on-GaN RF devices will have
standing gallium nitride (GaN) to be 1E6/cm2. The lattice radius of lower dislocation density device
wafers, has developed semi- curvature is greater than 10m. layers and no buffer layer is
insulating 4” GaN wafers. The firm Total thickness variation (TTV) and required, so the firm expects that
claims to be first to commercially bow can be controlled within 30μm higher power and better perform-
develop semi-insulating free-stand- for 100mm wafers. The surface has ance will result from RF devices
ing GaN wafers at this size. an epi-ready polish with roughness made on GaN substrates.
Eta uses the hydride vapor phase <0.3nm for a 10μm x 10μm “Due to the very limited supply,
epitaxy (HVPE) method to produce atomic force microscope (AFM) size and quality of semi-insulating
GaN wafers. To compensate the measurement and <1.0nm for GaN wafers, there has only been a
unintentional n-type dopants, a 239μm x 318μm optical interfer- limited body of research work con-
deep-level co-doping strategy was ometry measurement. ducted on GaN RF devices grown
used to achieve high resistivity. The market for semi-insulating homoepitaxially,” says CEO Troy
Whereas iron doping has been the GaN wafers is for RF HEMT devices. Baker. “We plan to work with cus-
most prevalent choice for semi- The RF device market is experienc- tomers to prove the value proposi-
insulating GaN, Eta uses carbon ing high growth due to the imple- tion of GaN wafers for RF devices.
doping. Secondary-ion mass spec- mentation We are very optimistic about the
troscopy (SIMS) data shows the of 5G and The wafers are performance gains that could be
carbon concentration to be in the other wire- currently made using semi-insulating GaN
range of 5E17–3E18/cm3. The less com- available for sale wafers,” he adds. “The wafers are
unintentional n-type dopants of munication currently available for sale and the
oxygen and silicon are below applications.
and the company company is building new HVPE
1E17/cm3. The resistivity has been GaN is a is building new reactors with carbon doping capa-
measured by Hall measurement useful semi- HVPE reactors bility. In addition, we are able to
and I-V curve, which result in conductor provide MOCVD [metal-organic
with carbon
room-temperature resistivity material for chemical vapor deposition] epi layers
greater than 1E9Ω-cm. high power doping capability. on GaN wafers.”
The crystal and wafer quality and high In addition, we Each wafer will be shipped with a
specifications of the semi-insulating frequency are able to detailed wafer inspection form.
GaN wafers remains the same as RF devices, Standard products are 2” and 4”
the company’s n-type GaN wafers. but the
provide MOCVD wafers, and customized sizes are
XRD rocking curves of both the industry is epi layers on available as well.
(002) and (102) are <100 arcsec almost GaN wafers www.etaresearch.com

AKHAN issued European patent


AKHAN Semiconductor Inc of intellectual property portfolio, and and this latest from the European
Gurnee, IL, USA — which was the firm’s first European-issued Union is further proof that we’re
founded in 2013 and specializes in patent. world leaders in producing diamond
the fabrication and application of Integration of high-quality dia- technology for semiconductor
lab-grown, electronics-grade dia- mond into semiconductor electron- application,” reckons founder & CEO
mond as functional semiconductors ics applications and multi-layer Adam Khan. “Diamond is proven to
— has been issued a patent by the materials can yield next-generation be the ideal material for semicon-
European Patent Office (EPO) cov- electronic performance and optical ductors and crucial to making next-
ering its next-generation n-type components with ultra-hardness, generation electronics faster, more
diamond semiconductor system scratch-resistance, high thermal powerful and lightweight,” he adds.
and diamond-based multi-layer conductivity, hydrophobicity, chem- “Now that we’ve been issued the
anti-reflective coating systems (key ical and biological inertness, and European patent, we look forward
components in military & aerospace with high transmittance at a variety to building further relationships
sensor and detector applications), of critical angles, says AKHAN. with various partners from across
amongst other applications. “Over the past few months, the continent who can benefit from
Patent no. 2737112 is another AKHAN has been issued a number this generational technology.”
addition to AKHAN’s Miraj Diamond of patents from around the world, www.akhansemi.com

www.semiconductor-today.com semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020


24 News: Wide-bandgap electronics

Odyssey names former MACOM executive Alex Behfar


as executive chairman & acting CEO
Odyssey Semiconductor Inc, which From December 2014 to January GaN-based transistors for radio-
is developing high-voltage power 2016, he was MACOM’s senior VP & frequency communications appli-
switching components and systems general manager, Photonic Solutions. cations. He was also a founding
based on proprietary gallium nitride In 2000, Behfar founded BinOptics member and device scientist at
(GaN) processing technology, has Corp, a supplier of indium phosphide Avogy Inc, a firm funded by Khosla
appointed Alex Behfar (a member (InP) lasers for data centers, Ventures. Brown holds a B.S.,
of the board of directors since June mobile backhaul, silicon photonics M.S. and Ph.D. in Electrical and
2019) as executive chairman & and access networks, and served Computer Engineering from
acting CEO. as its chairman & CEO from incep- Cornell University.
The appointment comes as tion through to its $230m acquisi- GaN-based systems outperform
Odyssey’s GaN foundry in Ithaca, NY, tion by MACOM in December 2014. silicon and SiC-based systems due
USA is in the final stage of being Prior to BinOptics, he worked at IBM to the superior material properties
transformed into a facility for GaN for more than 10 years in various of GaN. To date, GaN devices have
transistor fabrication and develop- capacities, including Laser Enterprise, proven difficult to process using
ment. The foundry is nearly fully where he designed the first com- standard semiconductor processing
operational. mercially viable high-power 830nm methods. Odyssey says that it has
Former chairman & CEO Dr and 980nm gallium arsenide (GaAs)- developed a novel processing mod-
Richard Brown has been named based lasers. Laser Enterprise was ification that will allow GaN to be
chief technical officer, and will over- later sold by IBM to Uniphase and is processed in a manner that, for the
see efforts to accelerate the devel- now part of II-VI Inc. He also served first time, will make production of
opment of a prototype of the firm’s as IBM’s worldwide cross-functional high-voltage GaN power switching
technology to produce GaN-based Intellectual Assets Program Manager devices viable.
high-voltage switching power con- for optoelectronics and telecommu- The premium power switching
version devices and systems that nications. device market — which is described
may quickly supplant silicon carbide Behfar has been awarded over 50 as applications where silicon-based
(SiC) as the dominant premium US patents. He holds an M.S. and a systems perform insufficiently —
power-switching device material, Ph.D. in Electrical Engineering from is projected to reach over $3.5bn
it is believed. Cornell University and a B.Sc. in by 2025 and is currently dominated
Behfar has over 30 years of expe- Electrical and Electronic Engineering by silicon carbide. This growth is
rience in the semiconductor industry. from King's College, University of driven largely by the rapid adoption
He currently serves as a mentor for London. of electric vehicles (EV) and
Cornell University’s Praxis Center Brown has 18 years of experience hybrid electric vehicles (HEV) and
for Venture Development and is in the design and fabrication of the growing number of installations
president of technical and business semiconductor devices, specializing of renewables such as solar and
consulting firm Ulexus Consulting. in GaN and related materials. wind power as well as increased
From January 2016 to January 2019, Prior to Odyssey, he was a visiting demand for more efficient industrial
Behfar served as MACOM’s senior scientist at Cornell University, motor drives.
VP & chief scientist, Photonics. where he worked on developing www.odysseysemi.com

REGISTER
for Semiconductor Today
free at
www.semiconductor-today.com

semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020 www.semiconductor-today.com


News: Wide-bandgap electronics 25

Compound Semiconductor Centre participating in


£36.7m round of challenge projects to push UK to
net-zero-carbon growth by 2050
Projects focusing on getting GaN-based power electronic materials
through supply chain more quickly and efficiently, and developing in-
line characterization of electrical steels using magnetic sensors
The Compound Semiconductor advanced components required for the next generation of electrification
Centre Ltd (CSC) — a joint venture the electrification of transport. technologies, and we are aiming to
founded in 2015 between Cardiff The CSC projects focus on: develop novel materials and device
University and epiwafer foundry ● Progressing the next generation technologies to address a rapidly
and substrate maker IQE plc of of power electronic materials based emerging GaN power device mar-
Cardiff, Wales, UK — is to participate on gallium nitride (GaN), ensuring ket,” says CSC’s Power Materials
in a £36.7m round of challenge that advanced semiconductor Programme Manager Rob Harper.
projects awarded to push the UK materials can reach the final buyer “The UK is leading the way in
to net-zero-carbon growth by in a supply chain more quickly and developing cleaner technologies to
2050. efficiently, with partners Newport help us reach our target of zero
About £30m will be used to create Wafer Fab Ltd and the Centre for emissions by 2050 and these new
four Driving the Electric Revolution Device Thermography and Reliability centers will play an important part
(DER) centers of excellence in (CDTR) at Bristol University. in that,” believes the UK Govern-
Newport, Nottingham, Strathclyde ● The development of a novel ment’s Business Secretary Alok
and Sunderland, bringing together method for in-line characterization Sharma. “The £30m industrializa-
climate change pioneers to of electrical steels using novel tion centers will provide a home for
research and develop green electric compound semiconductor-based virtual product development, digital
machines including planes, ships magnetic sensors, with partners manufacturing and advanced
and cars. Advanced Hall Sensors Ltd, Cogent assembly techniques that could
A further £6.7m will be awarded Power and Microsemi Semiconduc- drive world-leading improvements
to 14 projects that aims to help tors Ltd. in the testing and manufacturing of
increase the efficiency and breadth “Compound semiconductor mater- electric machines.”
of UK supply chains for the ials are essential building blocks for www.compoundsemiconductorcentre.com

Compound Semiconductor Applications Catapult


becomes Competence Centre for ECPE Network
CSA Catapult’s Innovation Centre to be used as research facility for
over 200 network organizations and several joint programs
The European Centre for Power quartered in South Wales) focused on shared resources, as well as
Electronics (ECPE) has welcomed on accelerating the adoption of training and talent acquisition.
the Compound Semiconductor compound semiconductors and on “The CSA Catapult and ECPE
Applications (CSA) Catapult as a new bringing applications to life in four share the same values in striving to
Competence Centre for its network. technology areas: power electronics, support collaboration across our
The ECPE Network was founded in RF & microwave, advanced packag- industries’ diverse supply chains,”
2003 and acts as a research network ing and photonics. It works across comments CSA Catapult’s chief
to promote education, innovation, the UK in a range of industry sectors commercial officer Amar Abid-Ali.
research and technology transfer and from automotive to medical, and “Compound semiconductors are
collaborations in power electronics from digital communications to at the heart of next-generation
in Europe. aerospace. electronics technologies. We are
Established by UK Government As a Competence Centre, CSA delighted to partner and share our
agency Innovate UK (which provides Catapult’s Innovation Centre will be expertise and resources with other
funding and support for business used as a research facility for over ECPE members to support research
innovation as part of UK Research 200 network organizations and and development across Europe.”
and Innovation), CSA Catapult is a several joint programs. The ECPE www.ecpe.org
not-for-profit organization (head- will collaborate with CSA Catapult www.csa.catapult.org.uk

www.semiconductor-today.com semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020


26 News: Wide-bandgap electronics

Fraunhofer IAF boosts GaN transistor efficiency to record


77.3% at 1–2GHz after doubling operating voltage to 100V
Long-term goal is operation through 10GHz
The Fraunhofer Institute for Freiburg-based Fraunhofer
Applied Solid State Physics (IAF) in Institute the first source for
Freiburg, Germany has significantly such 100V GaN-based devices.
increased the output power of its This is of particular interest for
gallium nitride (GaN)-based high- high-performance applications
frequency transistors for the fre- such as particle accelerators,
quency range from 1–2GHz, A 100V GaN power transistor with an industrial microwave heaters,
doubling the operating voltage of output power of 600W at a frequency of mobile-phone amplifiers,
the devices from 50V to 100V and 1.0GHz. © Fraunhofer IAF pulse- and continuous-wave
achieving record power-added effi- radar and amplifiers for plasma
ciency (PAE) of 77.3%. The tech- 20W/mm at 125V. The results were generators. These systems require
nology allows the development of presented for the first time in high output power levels while
high-efficiency amplifiers with even December at the International Elec- maintaining a preferably small foot-
higher power, as required for appli- tron Devices Meeting (IEDM 2019) print — exactly what the 100V
cations in plasma generation, in San Francisco (S. Krause, P. technology can deliver, says IAF.
industrial heating, communications Brückner, M. Dammann and R. Quay, Particle accelerators play an
and radar technologies, adds IAF. ‘High-Power-Density AlGaN/GaN important role in research, medical
For use in high-power applications Technology for 100-V Operation at technology and industry. Plasma
in the GHz range, the power den- L-Band Frequencies’, 2019 IEDM, generators in the high-frequency
sity of transistors determines the p17.4.1–17.4.4). range are used, for example, for
size of amplifier modules and thus Twice the voltage for much coating processes in the production
largely the system complexity — higher power of semiconductor-based chips,
both of which are decisive for the “Increasing the operating voltage data-storage media or solar cells.
manufacturing costs and the from 50V to 100V enables higher Power semiconductors replace
required use of resources. power densities. This means that a vacuum components
There are several ways to increase system can deliver more power on Another large industrial field of
transistor power density. Fraun- the same area than what is possible application is power generators for
hofer IAF chose the path of increas- with commercially available microwave heating. “In this field,
ing the operating voltage: By 50V or 65V technologies,” says industry usually works at higher
scaling the transistor design verti- Sebastian Krause, one of the main frequencies, but vacuum compo-
cally and laterally, the researchers developers of the technology. nents, e.g. magnetrons or klystrons,
succeeded, for the first time in On the one hand, this enables are predominantly used to date,”
Europe, in realizing high-frequency systems of the same size with says Krause. “Here, we are working
transistors suitable for applications higher output power. On the other on providing a semiconductor-based
at an operating voltage of 100V. hand, it is possible to create more alternative,” he adds. “Semicon-
The GaN-based devices are charac- compact and lighter systems deliv- ductors are much more compact
terized by significantly increased ering the same power, since less and more lightweight, which
power density at frequencies in the chip area is required to achieve the enables arrangements such as
GHz range. desired power level. “By doubling phased arrays.”
Laboratory measurements the operating voltage to 100V, the For many years, tube-based
show record efficiency transistor exhibits a four times components (e.g. traveling-wave
Performance in the 1–2GHz fre- higher output impedance for a tubes) have dominated electronic
quency range has already been given power,” says Krause. This systems with high output power.
demonstrated in the laboratory. allows the implementation of However, development is moving
Measurements showed a power smaller and therefore less lossy towards power semiconductors.
density of more than 17W/mm and matching networks, which in turn Fraunhofer IAF believes that the
a power-added efficiency of 77.3% results in higher energy efficiency GaN-based 100V technology can
at a frequency of 1.0GHz (the of the overall system. provide an efficient alternative for
highest PAE achieved for 100V Usage in industrial high-power increasing the power of microwave
operation in this frequency range systems generators.
ever reported). Tests have even “The long-term goal of our develop- https://ieeexplore.ieee.org/
shown that this technology delivers ment is operation through 10GHz,” document/8993632
a power density in excess of says Krause. This would make the www.iaf.fraunhofer.de

semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020 www.semiconductor-today.com


News: Materials and processing equipment 27

Keysight adds radiated EMI


pre-compliance to PathWave
Advanced Design System
Keysight Technologies Inc of Dr Rakesh Lal, principal scientist at
Santa Rosa, CA, USA (which supplies Transphorm Inc of Goleta, near
electronic design automation soft- Santa Barbara, CA, USA — which
ware for microwave, RF, high-fre- designs and manufactures JEDEC-
quency, high-speed digital, RF and AEC-Q101-qualified 650V
system, electronic system level, gallium nitride (GaN) field-effect
circuit, 3D electromagnetic, physical transistors (FETs). “The high-fre-
design and device-modeling appli- quency switching harmonics give
cations) has announced a new add- unwanted radiation into the VHF
on to PathWave Advanced Design frequency band, so we look to
System (ADS) that enables design- Keysight for their experience in
ers to perform pre-compliance test- modeling these effects. PEPro
ing on virtual prototypes of encapsulates their decades of
switched-mode power supply (SMPS) experience and makes it accessible
designs. The new capability saves to power electronics designers to
the time and cost of iterative build optimize wide-bandgap circuits and
and test of physical prototypes. layouts for highest performance
Demand for SMPS is driven by the and reliability,” he adds.
need for greater efficiency, increased “The interactions between PE, SI,
power density and lower cost. PI and EMI are well known,” says
Due to their high performance and Steve Sandler, managing director of
efficiency, fast, low-loss switches Picotest, a company that specializes
made from silicon carbide (SiC) and in high-fidelity testing and meas-
gallium nitride (GaN) will power urement tools, primarily for power-
future applications. However, there related applications. “Being able to
are unwanted side effects from simulate all four together in a single
the high current slew rate, such as environment adds tremendous
difficulty meeting the radiated visibility to the design process,” he
electromagnetic interference (EMI) adds.
spec. Pre-compliance analysis of a “I talk with dozens of SMPS engi-
‘virtual prototype’ is suitable for neers every year and the one thing
managing this challenge, but until they are all struggling with is EMI
now it required expertise with a compliance in the high di/dt area,”
complicated, general-purpose notes Colin Warwick, product man-
electromagnetic (EM) field solver. ager for power electronics EDA
To address this growing need, tools at Keysight. “They really see
Keysight has added a radiated EMI the value of the pre-compliance
capability to its Power Electronics ‘virtual prototyping’ capability of
Professional (PEPro) software, this new version of PEPro.”
available as an add-on to PathWave Keysight’s power electronics
ADS. This new capability includes design solutions enable power
automatic setup (eliminating the device production across the entire
need for an expert) as well as workflow from simulation, design,
pre-built testbenches, frequency- and verification to manufacturing,
domain far-field analyses that deployment, and optimization. The
mimic real-world tests, and firm offers a complete electromag-
comparison with government- netic circuit co-simulation environ-
mandated masks. ment with its PathWave Advanced
“High current slew rate in the Design System.
switched loop brings many benefits www.keysight.com/find/
but also requires greater discipline eesof-power-electronics
in circuit design and layout,” notes www.picotest.com

www.semiconductor-today.com semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020


28 News: Materials and processing equipment

IQE pre-announces 2019 financial performance in line


with November trading update
First-quarter 2020 trading in line with prior expectations
Following advice to auditor KPMG Operational highlights in 2019 are (DFB) laser for high-speed datacoms
from the Financial Reporting Council listed as follows: using nano-imprint lithography
(FRC) in the midst of the COVID-19 Infrastructure phase of the (NIL), and 10G and 25G avalanche
Coronavirus outbreak, epiwafer capacity expansion program photodiodes (APDs), both for
foundry and substrate maker IQE plc completed: high-speed datacoms serving the
of Cardiff, Wales, UK has delayed ●The Mega Foundry in Newport, 5G infrastructure and data-center
reporting its full-year 2019 financial South Wales entered production markets.
results (which were due for publica- for 3D sensing products in May ●Continued Photonics roadmap
tion on 24 March) for at least two following full and comprehensive progress was made, including
weeks. Instead, IQE has provided a end-to-end supply-chain qualifica- best-in-class results for long-
trading update (representing a pre- tion with IQE’s lead vertical-cavity wavelength VCSELs for future
announcement based on unaudited surface-emitting laser (VCSEL) smartphone and LiDAR deployment
financial accounts) for 2019 and for customer. plus lasers and sensors for environ-
first-quarter 2020. ●Capacity in Taiwan has been mental and health monitoring.
Revenue is £140m, down 10% on increased by 40%, enabling growth Evolution of board and
2018’s £156.3m but in line with in revenues within expanding Asian executive management to
November’s revised guidance of markets. Five tools are now quali- support growth ambitions and
£136–142m (which was reduced fied for wireless products for a scalability of operations:
from June’s guidance of £140–160m). major Taiwanese foundry, with four ●Phil Smith CBE was appointed as
By segment, Photonics grew by currently in production. chairman;
4% and Wireless fell by 23%. ●Consolidation and investment in ●Carol Chesney FCA was appointed
The year-on-year reduction in gallium nitride (GaN) capacity in as non-executive director and
revenue was primarily due to two Massachusetts has been completed, chair of the Audit Committee;
customers, one in Wireless and to capitalize on upcoming 5G infra- ●Tim Pullen ACA was appointed as
one in Photonics. structure deployments. chief financial officer (CFO);
Compared with a profit of £16m in Newport Mega-Foundry ●The executive management
2018, 2019 yielded an adjusted 3D sensing production and board was established and is fully
operating loss was £4.7m, in line qualification progress: operational.
with the November trading update ●The foundry was in mass production Increase to credit facilities to
guidance, reflecting negative oper- for the existing major supply chain support navigation of challenging
ating leverage and an increase in on four tools at year-end, with market conditions:
depreciation and amortisation from stable demand forecast through ●£30m asset financing facility put
targeted investments. first-half 2020. Currently five tools in place, increasing total available
Capital expenditure (CapEx) rose are in production for this customer. facilities to ~£57m (£25m drawn
from £30.4m in 2018 to £31.9m in ●Commencement of production in down at 31st December 2019).
2019 (as expected, towards the second-half 2019 is expected with “In 2019 IQE faced extremely
bottom end of the initial guidance of a second major customer serving challenging market conditions and
£30–40m), as IQE completed the Android supply chains. our financial performance reflects
infrastructure phase of the capacity ●Device and module qualification the considerable disruption in
expansions at its Newport, Taiwan was announced in second-half global semiconductor markets
and Massachusetts sites. 2019 with a third major customer and supply chains, caused by the
Adjusted operating cashflow was related to Android supply chains, geo-political environment,” says
£16.5m (down from 2018’s £17m), and other Android qualifications are IQE’s CEO Dr Drew Nelson.
representing 100% adjusted EBITDA ongoing. “We remain confident in IQE’s
to adjusted operating cash conversion. Next-generation product devel- ability to adapt to changes in global
Net debt (excluding lease liabilities opment: technology markets as a result of
of £16m) as at end-December 2019 ●IQE made continued strong our geographic and product diver-
was near the lower end of the progress in the development of filters sity. We have also made significant
November trading update’s £15–20m (based on the firm’s patented cREO strategic and operational progress
guidance range (against increased crystalline rare-earth oxide technol- in 2019, including the completion of
debt facilities of £57m announced ogy) and switches for 5G. the infrastructure phase of our
in June), reflecting strong working ●IQE introduced 10G and 25G global expansion projects,” he
capital management. full-service distributed feedback adds.

semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020 www.semiconductor-today.com


News: Materials and processing equipment 29
“The spread of Coronavirus has creating significant near-term IQE has access to material debt
introduced significant near-term uncertainty across global markets, facilities, should they be required in
uncertainty into global economies notes IQE. The firm’s production the event of a significant downturn.
and markets. However, to date we has so far not been affected by any “IQE has a long-standing and
have not experienced any signifi- disruption, with all sites continuing trusted relationship with our bankers
cant disruption to our current pro- to operate as normal. HSBC, who remain supportive,” the
duction or order intake relating to “There is a still-evolving risk to firm notes. “We are in close ongoing
coronavirus, but we have imple- future production at IQE or at others dialogue regarding the evolving
mented appropriate business conti- within our supply chains. However, effects of Coronavirus on supply
nuity measures and we are well as a critical technology supplier, chains and markets. In the event of
placed to withstand the near-term IQE is less likely to be affected by a significant slowdown, we will
market uncertainty,” Nelson contin- ‘lockdown’ scenarios than other work proactively with HSBC to
ues. “We continue to monitor this businesses,” believes IQE. “This is ensure the ongoing liquidity of the
fast-moving situation very closely”. evidenced by our classification as a group,” it adds.
First-quarter 2020 trading update ‘critical infrastructure provider’ in 2020 investment guidance
IQE says that it has been trading both the States of Pennsylvania With the infrastructure phase of
in line with expectations in first- and Massachusetts, where the the capital investment program
quarter 2020. “Forecasts from cus- Department of Homeland Security completed in 2019, the property,
tomers are relatively strong, with deems IQE to have a ‘special plant & equipment (PPE) cash capex
high levels of production in March responsibility to maintain (our) nor- guidance for 2020 is set at less
in particular for Wireless products mal work schedule’,” the firm adds. than £10m. IQE says that it will
and 3D sensing VCSELs,” the firm “The effects of Coronavirus on continue to invest in R&D programs
notes. The revenue trajectory for global economic output in 2020 and to underpin future growth opportu-
March is currently, on a weekly run on semiconductor demand are as yet nities, with intangibles capitalization
rate, significantly higher than aver- uncertain. Given the significant in 2020 expected to be less than
age monthly revenue for 2019. current levels of uncertainty, we £10m. Capital spend can be reduced
2020 outlook are unable to provide more explicit further if conditions require addi-
Concerns over the spread of Corona- guidance at this point in time,” tional cash preservation measures.
virus (COVID-19) are currently IQE cautions. www.iqep.com

IQE continuing production at all sites despite COVID-19


Epiwafer foundry and substrate provider’ the company is operating Supply chain and order book
maker IQE plc of Cardiff, Wales, under direction from the US IQE says it has not encountered
UK says that production is continu- Department for Homeland Security, any disruption to supply chains,
ing at all of its global sites, despite which deems IQE to have and its approach of dual- or multi-
the impact of the COVID-19 “a special responsibility to main- sourcing helps to reduce exposure
coronavirus. “Given the diversified tain (our) normal work schedule”. to disruption at any single supplier.
nature of our global operations, Nevertheless, all members of staff Order demand for the firm’s
there are differing operating condi- are working from home as a products has been unaffected, and
tions across our various sites,” default, unless they are essential production output in March is
notes the firm. to production. above average levels for the previ-
A consistent factor is that IQE’s UK ous 12 months.
manufacturing business has a As in the USA, all members of UK “There remains an increased risk
‘low people intensity’ compared to staff who can work from home are to near-term demand and there-
other industries, so the company doing so and, in observance of the fore revenues due to the impact of
can operate effectively and safely Government’s directions regarding Coronavirus on the global economy,”
with reduced numbers of staff on essential trips for work, exercise, says IQE. “However, the total
site. food and care, the firm’s sites impact of these conditions and risks
USA are operating at minimal staffing on IQE’s markets remains unquan-
A number of States in the USA levels and only where essential tifiable at this point in time,” the
have issued ‘stay at home orders’ for production. firm adds. “Our business continuity
to their residents, but IQE is not Asia Pacific sub-committee continues to moni-
affected because it has been Taiwan and Singapore are cur- tor risk indicators and external
granted special exemption to carry rently less impacted by the virus, guidance and is responding swiftly
on with production at all four of its so operations there are unaf- to this rapidly evolving situation.”
sites. As a ‘critical infrastructure fected. www.iqep.com

www.semiconductor-today.com semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020


30 News: Materials and processing equipment

Veeco withdraws Q1 guidance following California’s


‘shelter-in-place’ COVID-19 restrictions on San Jose
operations till 7 April
Epitaxial deposition and process directive related to the COVID-19 tomers, the firm is withdrawing its
equipment maker Veeco Instruments virus which has rendered Veeco’s first-quarter guidance, previously
Inc of Plainview, NY, USA says that, San Jose facility and possibly the provided on 13 February, which
as the worldwide COVID-19 facilities of certain customers was based on best known informa-
(coronavirus) outbreak continues unable to operate until 7 April. tion at the time.
to spread, it has taken precautions Veeco says that these government Veeco notes that it continues to
to protect employees and visitors directives may impact its ability to monitor what it describes as a
while minimizing the risk of disrup- meet its first-quarter 2020 guidance. dynamic situation and expects to
tion to its business, where possible. As a result, given the additional provide a further update during its
On 16 March, several California uncertainty and disruptions to Q1/2020 earnings conference call.
counties issued a ‘shelter-in-place’ Veeco and possibly to certain cus- www.veeco.com

Riber’s Picault to resign as member of executive board


Riber S.A. of Bezons, France — which says that Michel Picault will resign Riber’s supervisory board thanks
makes molecular beam epitaxy from the firm’s executive board at Picault for his major contribution to
(MBE) systems as well as evapora- the end of this year, on 31 December, the company’s development.
tion sources and effusion cells — after over 35 years with the firm. www.riber.com

semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020 www.semiconductor-today.com


5

Fuji Electric Lambda Series


Safety, Compact, Powerful

The New Generation of Low Voltage Earth Leakage Circuit Breakers


Compact! Ground Fault Protection Built in One Unit for Space Saving Designs
 6DPH)RRWSULQW0&&%V (/&%V,QWHUFKDQJHDEOHZLWKRXW3DQHO0RGLÀFDWLRQV
18mm Per Pole Width(2P & 3P), IEC 35mm DIN Rail Mounting
Higher Capacity SCCR ⇒ 18kA@ 240VAC UL489
 /RFN2XW7DJ2XW /272 VDIHW\GHYLFHV À[HGDQGUHPRYDOW\SHV DUHDYDLODEOHDVRSWLRQ

Distribution & Control Department

For sales, product & distributor information, please visit https://americas.fujielectric.com/products/distribution-control/

or contact us: x-fea-fuji-dc@fujielectric.com


32 News: Materials and processing equipment

Aixtron meets 2019 guidance for order intake, sales,


gross margin and EBIT margin, aided by strong Q4
Drop in MOCVD system sales for lasers/VCSELs mitigated by
growth for specialty LEDs and power electronics
For fourth-quarter 2019, deposition
equipment maker Aixtron SE of
Herzogenrath, near Aachen,
Germany has reported revenue of
€75.1m, down 15% on €87.9m a
year ago but up 43% on €52.6m in
Q3/2019. “We have seen a continu-
ing improvement of the business
after the challenging second quar-
ter last year caused by the geopo-
litical environment,” says president
Dr Bernd Schulte.
Full-year revenue was €260m, at
the bottom end of original guidance
range of €260-290m but down just
3% on €268.8m in 2018. In partic-
ular, sales of spare parts & services
grew by 11% from €47.1m to
€52.4m (rising from 18% to 20%
of total revenue), while equipment
revenue shrank by 14.5% from 23% from €54.4m to €41.9m) and was 45%, level with a year ago and
€221.8m to €207.3m (falling from Europe fell back from 26% to 16% recovering further from Q1’s low of
82% to 80% of total revenue). (down by 42% from €69.7m to 39% and 42% in Q3.
Of equipment revenue, the €40.3m). Full-year operating expenses
proportion from metal-organic “Our strategy to serve multiple were cut from 2018’s €76.2m
chemical vapor deposition (MOCVD) end applications with one product (28% of revenue) to €69.7m (27%
systems for manufacturing opto- platform has proven to be very of revenue) for 2019. In particular,
electronic components (i.e. lasers effective in 2019,” says Schulte. selling, general & administrative
and solar, excluding LEDs) declined “We were able to largely compen- (SG&A) expense fell from €27.7m
as expected (falling back from 66% sate for the somewhat weaker sales to €26.4m (remaining 10% of rev-
to 43% of total revenue) after the in the laser/VCSEL [vertical-cavity enue), due mainly to lower project-
particularly strong laser business in surface-emitting laser] segment, related advice costs and lower
2018 did not repeat in 2019. How- primarily through sales in power variable pay. This was despite
ever, systems for producing LEDs — electronics and specialty LEDs, and staffing rising from 628 to 688
including red-orange-yellow (ROY) thus achieve an operating result people (as the positive business
and specialty LEDs — nearly doubled similar to that of the previous year.” development leading to new hires).
(rebounding from just 16% to 35% Cost of sales was roughly level Research & development (R&D)
of total revenue). Revenue from with 2018’s €151.2m, at €150.9m expenses have been increased by
systems for manufacturing power in 2019, but rose from 56% to 58% 5% from €52.2m (19% of revenue)
electronics doubled (growing from of revenue due to lower-margin to €55m (21% of revenue), as
8% to 18%). ROY LED systems shipped in first- product development for MOCVD
Asian revenue has rebounded half 2019. systems (including power electronics
from 54% of total revenue in 2018 Full-year gross margin has fallen and micro- and mini-LEDs) increased
to 68% in 2019 (rising by 23% from 2018’s 44% to 42% for 2019, significantly in 2019 over 2018.
from €144.7m to €177.4m, includ- due mainly to the different sales In particular, this came mainly from
ing China rising by 65% from mix (with more sales into the activities related to the finalization
€72.6m to €119.7m while Korea display market in first-half 2019) and launch of the new tool for
fell from €11.9m to €4.6m and partly offset by a favorable dollar silicon carbide (SiC) power elec-
Taiwan from €43.2m to €33m). exchange rate. However, this is tronics.
Meanwhile, the Americas fell back above the original guidance range Net other income rose from €3.8m
from 20% to 16% (shrinking by of 35–40%. Also, Q4 gross margin to €11.6m, due mainly to an

semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020 www.semiconductor-today.com


News: Materials and processing equipment 33
increase in R&D
grants received
from €4.7m to
€7.9m as a result
of a higher number
of publicly funded
R&D projects.
Full-year operat-
ing result (EBIT)
has fallen from
2018’s €41.5m to
€39m in 2019 Aixtron’s 24-month
(though remaining business development
15% of revenue,
and above the
originally fore-
casted EBIT mar-
gin of 8–13%).
Although down
from €20.8m (24%
of revenue) a year ago, quarterly increased by €7m in Q4, reflecting In the organic light-emitting diode
operating result almost tripled from the good order intake. Advanced (OLED) area, Aixtron’s South
€5.5m (10% of revenue) in payments comprise 44% of the Korea-based subsidiary APEVA
Q3/2019 to €14.4m (19% of rev- order backlog. continued in 2019 to work on the
enue) in Q4/2019. Because of the lower receivables qualification of a Gen2 system
Full-year operating cash flow and increased customer advanced (370mm x 470mm) together with a
improved from €11.9m in 2018 to payments, the cash balance rose to large Asian display manufacturer.
€42.8m in 2019, because 2018 €298.3m at the end of 2019, up APEVA expects a decision on the
cash flows included both €12m of from €260.6m at the end of Q3 and further development of this project
open payments related to the sale €263.7m at the end of 2018. this year.
of the ALD/CVD business in 2017 “2019 was a challenging year in Total order intake (including
as well as increases in inventories which Aixtron successfully main- spares & services) for 2019 was
and receivables. Capital expendi- tained its leading market position in €231.9m, down 23% on 2018’s
ture (CapEx) and interest received MOCVD equipment and applications,” €302.5m, due to “customers’
amounted to –€6.8m. So, full-year says Schulte. “Despite a market hesitant approach to increase their
free cash flow in 2019 was €36m environment characterized by polit- capital expenditures against the
(up from 2018’s €4.4m), due ical and economic uncertainties, we backdrop of ongoing trade disputes
mainly to a stable level of working met our full-year guidance.” and the uncertainty associated with
capital in 2019 compared with In 2019, Aixtron invested signifi- this environment”. However, this
increases in the previous year. cantly in the development of new was above the most recent guidance
“Free cash flow was higher than or enhanced products for all major of €220m, as the expected lower
guided [€15–25m], due to the sub- applications. The launch of the new level of orders for MOCVD systems
stantially higher cash inflows fully automated, high-throughput for the production of 3D sensing
towards the very end of the year,” silicon carbide (SiC) production tool VCSELs was partially offset by
says Schulte. Free cash flow was in September was followed by first demand for power semiconductors
€37.8m in Q4, up from just €2.6m customer qualifications and orders and telecoms production systems
in Q3, and –€4.9m in first-half 2019. for the AIX G5 WW C system. as well as by strategic investments
Inventories rose from €73.5m to “As part of this comprehensive of customers in mini- and micro-
€79m, including around €5m of product initiative, we have been LEDs production feasibility projects.
prototype systems. Very good cash working intensively on the Most recently, orders in Q4/2019
collections from customers in enhanced next-generation MOCVD were €81.4m, up 56% on €52.2m
December cut trade receivables equipment for optoelectronics and in Q3 and up 13% on €72.2m a
from €40.1m (36 days sales out- power electronics,” says Aixtron. year ago.
standing) at end-2018 to €29.2m “In doing so, we focus on high-per- Equipment order backlog was
(30 days sales outstanding) at end- formance tools for the production €116.7m at the end of 2019, down
2019. Advanced payments received of high-quality devices based on 16% on €138.3m at the end of
from customers of €51m was gallium arsenide (GaAs) and 2018 but up by 8% from €108.4m
similar to the end of 2018, but gallium nitride (GaN). at the end of Q3/2019.

www.semiconductor-today.com semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020


34 News: Materials and processing equipment
“In light of the many challenges 2020 compared to 2019,” the firm Expectations for 2020 include in
we faced, we are very pleased with adds. So, based on its existing cor- full the results of the APEVA Group,
the past year,” says Schulte. “We porate structure, the assessment of including all necessary investments
have met our full-year guidance the order situation and the budget to further advance the development
[for order intake, sales, gross margin exchange rate of $1.20/€, Aixtron of OLED activities and are based on
and EBIT margin] and further expects order intake for full-year the assumption that the coron-
strengthened our global market 2020 to grow to €260–300m. avirus COVID-19 outbreak will not
leadership position in MOCVD Based on the equipment order have a significant impact on the
equipment,” he adds. backlog of €117m on 1 January development of Aixtron’s business.
For full-year 2020, Aixtron expects joined by an estimated €98–138m of “Last year we paid particular
stable to growing revenue compared order intake shippable during 2020 attention to the development of new
with 2019. In terms of order intake, plus an esti- or enhanced products within our
customer demand is expected mated €45m As part of this product portfolio. The successful
across all technology areas. Due to of spares & comprehensive start with our new production sys-
this diversity, the development of services product initiative, tem for silicon carbide applications
orders in second-half 2020 is diffi- revenue, for in 2019 was very promising,” says
cult to predict. “We are optimistic 2020 Aixtron
we have been president Dr Felix Grawert. “We
about the long-term positive out- expects working expect the same from the enhance-
look, both for demand for MOCVD revenue of intensively on ment of our next-generation prod-
systems for the production of 3D €260–300m. ucts for optoelectronics and power
the enhanced
sensing lasers or lasers for optical Gross margin electronics, which we will be bringing
data transmission as well as for should be next-generation to market in the course of this and
LED-based display applications,” says about 40% MOCVD next year. In addition, we expect a
Aixtron. “In particular, we expect and EBIT equipment for decision on the further develop-
the demand for systems for the margin ment of our OLED qualification
production of power electronics should be
optoelectronics project with a major Asian display
made of the wide-bandgap materials 10–15% of and power manufacturer in 2020,” he adds.
SiC and GaN to increase again in revenue. electronics www.aixtron.com

China-based KONKA enters micro-LED pilot production with


Aixtron AIX G5+ C and AIX 2800G4-TM MOCVD systems
Deposition equipment maker displays made of micro-LEDs formity, high yield and efficient
Aixtron SE of Herzogenrath, near consist of micron-sized LED arrays high-volume manufacturing at
Aachen, Germany says that China- forming individual sub-pixel ele- lowest cost per wafer. Besides our
based KONKA Group Co Ltd has ments, they offer the lowest power commercial market entry for
ordered multiple AIX G5+ C and consumption while simultaneously micro-LED applications, Chongqing
AIX 2800G4-TM metal-organic exhibiting superior pixel density, KONKA and Micro Crystal Transfer
chemical vapor deposition (MOCVD) contrast ratio and brightness. Group will develop new technolo-
systems to build its own volume Compared with existing LCD and gies and applications based on
production of gallium nitride (GaN)- OLED technologies, micro-LEDs GaN materials,” he adds.
based and arsenide-phosphide open new opportunities for the “Following the recent qualification
(AsP)-based mini- and micro-LEDs. design of consumer mobile prod- of our AIX G5+ C tool for micro-
KONKA, which recently announced ucts as well as premium TV dis- LED production means another
its plans to enter the North Ameri- plays. step forward in the commercializa-
can consumer electronics market “Aixtron’s market-leading fully tion of our system technology for
in 2020, established its micro-LED automated MOCVD tools AIX G5+ micro-LED manufacturing,” says
initiative as a joint venture with C and AIX 2800G4-TM perfectly Aixtron president Dr Bernd Schulte.
Chongqing Liangshan Industrial meet our tightened wavelength “We are looking forward to the
Investment Co. Most recently, the uniformity requirements for micro- further cooperation with KONKA,”
Chinese electronics manufacturer LED manufacturing,” comments he adds. “Aixtron will continue to
has launched its Smart Wall micro- Dr Allen Tsai, general manager & support the joint effort between
LED TV. CEO at Chongqing KONKA Opto- Chongqing KONKA and Micro Crystal
Micro-LED technology is on the electronics Technology Research Transfer Group for their development
verge of superseding existing Institute Co Ltd. “The advanced of new technologies and applications
display technologies for next-gen- Planetary technology stands out based on GaN materials.”
eration consumer products. Since due to excellent wavelength uni- www.konka.com

semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020 www.semiconductor-today.com


News: Materials and processing equipment 35

LayTec unveils InspiRe in-situ monitoring tool


used for monitoring perovskite formation
The efficiency of perovskite photo- InspiRe in-situ metrology system, derived directly during annealing.
voltaics has recently risen greatly. which was applied to monitor both Spectral changes during annealing
In a tandem configuration at Ger- spin-coating and annealing (Figure 2). indicate ‘over-annealing’ after the
many’s Helmholtz-Centre Berlin Gathering data at a time resolution desired bandgap has been achieved.
(HZB), even the best silicon solar on the millisecond scale allows This methodology, for the fist
cell was surpassed (29.1%) using resolving of the kinetics and phase time, allows the systematic study
wet-chemical low-cost methods. formations during film formation. of film formation during two crucial
Despite this progress, many chal- While spin-coating, the absorption process steps for identifying opti-
lenges remain for this technology. behavior and the thinning of mization routes and for implement-
In-situ metrology system maker precursor solution is monitored. ing a rigid quality control scheme
LayTec AG of Berlin, Germany says The absorption edge (i.e. bandgap) for upscaling and industrialization.
its new InspiRe (Figure 1) system of the deposited perovskite film is www.laytec.de/inspire
applies high-speed
55 .0825
in-situ reflectance
measurements for 160
0.22

monitoring per-
ovskite thin-film

Time (s)
Time (s)

formations during 90
spin-coating and
subsequent
annealing. 0.00 .0425
20 11
In collaboration 400 500 600 700 800 900 1000
400 500 600 700 800 900 1000
Wavelength (nm)
with professor Wavelength (nm)

Norbert Nickel’s Figure 2: (a) Spin-coating: exemplary color plot obtained during perovskite deposition. The inset
group at HZB, zooms into the first 5s after starting the rotation.(b) Annealing: example of a color plot obtained
LayTec designed the during perovskite annealing. The red arrow marks the shift of the absorption edge.

Process 1: Process 2:
Spin coating Annealing

Figure 1: Spin-coating (a, b) and annealing (c, d) of perovskite thin films.

Astrum LT chooses EpiX wafer mapper for VCSEL manufacturing


LayTec’s EpiX wafer mapping station automotive, spectroscopy and analysis of optical wafer properties
has been chosen by Astrum LT UAB consumer electronic applications. by non-contact measurement.
of Vilnius, Lithuania for vertical- The stand-alone metrology tool In combination with the in-situ
cavity surface-emitting laser will help Astrum LT to determine results of LayTec’s EpiCurve TT
(VCSEL) production at its new post-growth wafer uniformity and VCSEL installed on its MOCVD
Astrum LT s.r.o. facility in Kralupy to better understand the growth system, Astrum LT will be able to
nad Vltavou near Prague, Czech process in its metal-organic correlate live run data — like
Republic. chemical vapor deposition reactor. growth-temperature distributed
Astrum LT s.r.o. was set up to LayTec’s EpiX mapping stations Bragg reflector (DBR) mirror or
offer high-power gallium arsenide combine spectroscopic white-light cavity position — with the room-
(GaAs)-based devices — including reflectance and photoluminescence temperature results from the
edge-emitting lasers (EELs) and detection with an XY-mapping stage EpiX mapping station.
VCSELs — for medical, industrial, and provide a comprehensive 2D www.astrum-lasers.com

www.semiconductor-today.com semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020


36 News: Materials and processing equipment

EVG sets up Heterogeneous Integration Competence Center


Center to help customers accelerate new product development
fueled by heterogeneous integration and advanced packaging
EV Group of St Florian, Austria — Advanced
a supplier of wafer bonding and packaging
lithography equipment for semicon- milestones
ductor, micro-electro-mechanical In the field of
systems (MEMS) and nanotechnology permanent
and semiconductor applications — bonding, EVG
has established the Heterogeneous pioneered the
Integration Competence Center, patented
which is designed to assist customers SmartView
in leveraging the firm’s process wafer-to-wafer
solutions and expertise to enable alignment sys-
new and enhanced products and tem more than
applications driven by advances in 20 years ago,
system integration and packaging. and has refined
These include solutions and appli- this technology
cations for high-performance over the years to
computing and data centers, support technol-
the Internet of Things (IoT), ogy advances
autonomous vehicles, medical and such as back-
wearable devices, photonics and The Heterogeneous Integration Competence Center side-illuminated
advanced sensors. combines EVG’s wafer bonding, thin-wafer handling and CMOS image
The Heterogeneous Integration lithography products and expertise, as well as pilot-line sensors (BSI-
(HI) Competence Center combines production facilities and services at its cleanroom facilities. CIS) and, more
EVG's wafer bonding, thin-wafer recently, the
handling and lithography products partners across the microelectron- first demonstration of sub-100nm
and expertise, as well as pilot-line ics supply chain to collaborate while wafer-to-wafer alignment overlay
production facilities and services at pooling our solutions and process for hybrid bonding — enabling
its cleanroom facilities at EVG’s technology resources to shorten devices such as 3D BSI-CIS and
headquarters in Austria, supported development cycles and time to memory-on-logic stacking. EVG
by the firm’s worldwide network of market for innovative devices and developed the first temporary
process technology teams. Through applications enabled by heteroge- bonding systems for ultra-thin wafers
the HI Competence Center, EVG will neous integration,” he adds. as early as 2001, which are essen-
help customers to accelerate tech- EVG has an extensive background tial for 3D/stacked die packaging,
nology development, minimize risk in heterogeneous integration, as well as revolutionized low-tem-
and develop differentiating tech- providing solutions for this key perature laser debonding for ultra-
nologies and products through technology trend for more than thin and stacked fan-out packages.
heterogeneous integration and 20 years. Among these are: per- In lithography, EVG delivered the
advanced packaging, while guaran- manent wafer bonding (including first UV molding solutions for high-
teeing IP protection standards direct fusion and hybrid bonding for volume production of wafer-level
required for working on pre-release 3D packaging and metal bonding) optics more than a decade ago, and
products. and die-to-wafer bonding with and has since led the proliferation of
“Heterogeneous integration fuels without collective carriers for nanoimprint lithography (NIL) to
new packaging architectures and integration of III-V compound high-volume manufacturing (HVM).
demands new manufacturing tech- semiconductors and silicon as well as The firm continues to break speed
nologies to support greater system high-density 3D packaging; and accuracy barriers in mask
and design flexibility, as well as temporary bonding and debonding alignment lithography for advanced
increased performance and lower (including mechanical, slide-off/ packaging and, more recently,
system design costs,” says Markus lift-off and UV laser assisted); unveiled the first highly scalable
Wimplinger, corporate technology thin-wafer handling; and innovative maskless exposure technology,
development & IP director. “EVG’s lithography technologies, including which addresses emerging require-
new HI Competence Center pro- mask aligners, coaters and devel- ments in HVM back-end lithography.
vides an open-access innovation opers, and maskless exposure/ www.evgroup.com/products/
incubator for our customers and digital lithography. process-services

semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020 www.semiconductor-today.com


News: Materials and processing equipment 37

Plasma-Therm adds systems engineering expertise


with acquisition of UK-based JLS Designs
Table-top etch and deposition added to portfolio
Plasma-Therm LLC of St Petersburg, customers throughout Europe,” developed to the Plasma-Therm
FL, USA (which makes plasma etch, says Jim Garstka, Plasma-Therm’s portfolio.”
deposition and advanced packaging VP of sales & business develop- “The PlasmaPOD is a unique prod-
equipment for specialty semicon- ment. “This is a strategic acquisi- uct addition to Plasma-Therm’s
ductor and nanotechnology markets) tion to increase our presence in the portfolio, offering high-performance
has acquired JLS Designs Ltd of UK and Europe, and to enhance the capabilities for etch and deposition
Somerton, Somerset, UK, a supplier award-winning customer service in a table-top package,” says John
of compact plasma systems and that Plasma-Therm provides Sambell, formerly of JLS Designs
custom-designed engineering around the world,” he adds. “We and now Plasma-Therm business
solutions. are excited also to be adding line manager. “We look forward to
“This transaction immediately specialized engineering capabilities, reaching new customers through an
increases our capabilities, both to for which JLS Designs team is integrated, global sales network.”
support existing customers in the known, and to bring the myriad www.jlsdesigns.co.uk
United Kingdom and to attract new process technologies they have www.plasmatherm.com

ClassOne’s Solstice S4 chosen by FBH for


electroplating and wafer surface processing
ClassOne Technology of Kalispell, pact footprint and its configuration
MT, USA (which manufactures and multi-processing flexibility
electroplating and wet-chemical were additional factors in the pur-
process systems for ≤200mm chase decision — as well as FBH’s
wafers) has sold a Solstice S4 sys- experience with ClassOne’s other
tem to the Ferdinand-Braun-Institut, equipment and customer support,
Leibniz-Institut für Höchstfrequenz- since FBH is a repeat customer.
technik (FBH) in Berlin, Germany. With the addition of the S4, FBH’s
As a research institute in the fabri- Berlin facility will now be employing
cation of III–V compound semicon- virtually the entire portfolio of
ductors, FBH develops microwave ClassOne tools, including the
and optoelectronic devices for Solstice S8 as well as SAT, SST,
communications, energy, health, and SRD systems.
mobility and other industries. The Solstice platform includes
“We were looking for the right tool ClassOne’s Solstice S4 system. three complementary configur-
to optimize our metal lift-off ations: the 8-chambered Solstice
processes, and we did a rigorous After metal fragments and cross- S8 with up to 75wph (wafer per
comparison of competitive equip- linked photoresist have been hour) throughput, the 4-cham-
ment,” says Olaf Krüger, head of dislodged, gravity simply pulls bered automated Solstice S4, and
FBH’s Process Technology Depart- them away After metal the 2-chambered Solstice LT for
ment. “The Solstice S4 came out from the process development. In addition
the winner, with superior perform- wafer sur-
fragments and to electroplating, the Solstice’s spe-
ance in comparison demos. And face and cross-linked cial Plating-Plus capabilities enable
one strong differentiator was down the photoresist have it to handle numerous other func-
ClassOne’s unique face-down wafer chamber tions, including wafer cleaning,
been dislodged,
processing design,” he comments. drain — high-pressure metal lift-off, resist
“It’s an elegant design that does leaving the gravity simply strip, and UBM (under-bump
the job better and also eliminates wafer sur- pulls them away metal) etch. This multi-processing
fundamental problems common in face clean.” from the wafer flexibility often reduces the number
other tools,” claims Roland Seitz, Seitz says of different tools that a user needs
director of ClassOne’s European that the
surface and to purchase, the firm adds.
Operations. “For example, it Solstice down the www.fbh-berlin.com
prevents re-adhesion issues. S4’s com- chamber drain www.classone.com/products

www.semiconductor-today.com semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020


38 News: Materials and processing equipment

k-Space issues application note ‘Continuous


Reflectivity Measurements for Growth Rate and
Emissivity-Corrected Pyrometry in MBE Systems’
k-Space Associates Inc of Dexter, wavelength
MI, USA — which makes in-situ, ex- reflectivity
situ and in-line thin-film metrology data allows
instrumentation for both research the calcula-
and manufacturing of microelec- tion of film
tronic, optoelectronic and photo- thickness
voltaic devices — has released a earlier in the
new technical note on continuous growth and
reflectivity measurements for allows char-
growth rate and emissivity- acteristically
corrected pyrometry (ECP) in thinner layers
molecular beam epitaxy (MBE) to be meas-
systems. Real-time growth rate and ured (under
wafer temperature measurement 350nm thick).
during MBE growth are important Conversely,
to the final product quality and 940nm-
yield, notes the firm. The kSA Integrated Control for Epitaxy (ICE) head mounted wavelength
These measurements have been on a reactor. reflectivity
historically difficult to obtain, says continues to
k-Space. Taking the measurement oscillation period is proportional to produce strong oscillations beyond
is challenging because of variation λ/2n. As such, the oscillation period 350nm.
in the reflectivity signals caused by of the 532nm reflectivity data is Additional data is available in the
substrate wobble. These variations shorter than that of the 940nm full technical paper ‘Continuous
are exacerbated by the relatively reflectivity data, as seen in the Reflectivity Measurements for
long substrate-to-detector Figure. A shorter oscillation period Growth Rate and ECP in MBE
distances in MBE systems (typically allows the fit to converge earlier Systems’.
greater than 24”) compared with in the growth. As a result, the www.k-space.com/products/
metal-organic chemical vapor shorter-wavelength 532nm- ksa-ice
deposition (MOCVD) systems
(typically less than 12”). This
results in oscillations in both the
measured reflectivity and the
temperature.
The kSA Integrated Control for
Epitaxy (kSA ICE) instrument
provides reflectivity and ECP
measurements that can be utilized
during MBE growth. This results in
real-time material deposition cali-
bration and process control in both
continuous and triggered modes.
The optics have been configured to
overcome the wobble and path
length issues in MBE.
The Figure shows the simul-
taneous measurement of the
surface reflectivity at 532nm and
940nm during the growth of 532nm- and 940nm-wavelength reflectivity during growth of 250nm of AlAs
aluminium arsenide (AlAs) and followed by 500nm of GaAs on a GaAs substrate, and the corresponding
gallium arsenide (GaAs) films on a virtual interface reflectivity fits for each layer and wavelength.
GaAs substrate. For a deposition (‘Continuous Reflectivity Measurements for Growth Rate and Emissivity-
with constant growth rate, the Corrected Pyrometry in MBE Systems’).

semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020 www.semiconductor-today.com


News: Materials and processing equipment 39

By integrang measurement modules into a


single opcs head, the Integrated Control for Epitaxy
Modular design for: (kSA ICE) metrology system measures real-me
•MOCVD temperature, reflecvity, growth rate, film thickness,
•MBE wafer curvature, and film stress. The kSA ICE tool can
•Spuering
handle wafer-resolved measurement for rotaon
Opmized measurements for: speeds up to 1,500 RPM. Keep cool while gaining
•Power Electronics
insight into your deposion process. Maximize device
•VCSELs
•High Speed Electronics performance and limit process variaon to increase
yields with kSA ICE!
What kSA ICE Measures:
•Temperature
•Reflecvity k-Space Associates, Inc.
•Growth Rate
•Stress
•Curvature USA • 734-426-7977 • requesnfo@k-space.com

www.semiconductor-today.com
www.k-space.com
semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020
40 News: LEDs

Everlight launches UVC LED series for medical treatment,


water and air purification/sterilization
Taiwan-based Everlight Electronics invested in LED technology for 30mW), making it suitable for
Co Ltd has launched its many years and has developed UVA applications such as medical treat-
ELUC3535NUB series of UVC LED and UVC products. There are already ment, water or air purification and
products, which use epitaxial and existing 2016, 3535 and 4545 sterilization of germs, viruses or
flip-chip technology on a sapphire packages for UVA (UV black light mold. Furthermore, the
substrate, for sterilization appli- radiation, 360–410nm) including ELUC3535NUB LEDs can be used
cations. low to high wattages and several for deodorizing and bactericidal
Popular markets for UV appli- kinds of emitting angles for individ- treatment, when installed for
cations are curing, medical treat- ual customer options. example in a modern smart toilet.
ment, photocatalyst and counterfeit Packaged in inorganic quartz glass A similar effect can also be
testing. Recently, rapid growth of with an emission wavelength of achieved by using the firm’s
demand in UV markets has been 280nm, the new ELUC3535NUB UVA3535 (1.8W, 120ºC) LEDs in
seen, especially in air purification UVC LED Series (3.5mm x 3.5mm x combination with titanium dioxide
and the sterilization of standing or 1.4mm) spans three different (TiO2).
running water. Everlight has intensity levels (2mW, 10mW and www.everlight.com

Crystal IS improves Klaran line of germicidal UVC LEDs


to provide 20% higher performance and lower cost
Crystal IS Inc of Green Island, NY, All devices will take advantage of us to deliver the products, perform-
USA, an Asahi Kasei company that in-house production improvements ance and price needed to meet the
makes proprietary ultraviolet light- that lower forward voltage and needs of global germicidal markets,”
emitting diodes (UVC LEDs), has result in a tighter wavelength speci- he adds. “Our rigorous testing,
completed technology and produc- fication of 260–270nm — the ideal in-house microbiological facilities
tion improvements to its Klaran germicidal range. Klaran WD Series and ISO 9001:2015 quality system
product line that provide higher- devices will be priced at under provide the data-driven proof of the
performing devices at higher yields 15 cents per mW with supply reliability, performance and efficacy
and corresponding lower costs. agreement. of our products.”
The enhancements come at a key “The completed production and The Klaran product line leverages
time when manufacturers are using technology improvements fulfill the the unique properties of Crystal IS’
germicidal UVC to combat water, promise of Crystal IS’ proprietary aluminium nitride to provide pre-
surface and airborne pathogens. aluminium nitride substrates; high mium germicidal UVC LEDs and
In addition to its existing Klaran yields of high-output devices at associated modules to treat water,
60mW UVC LED, Crystal IS is deep UV wavelengths,” says Eoin air and surfaces for healthcare,
adding a 70mW UVC LED for imme- Connolly, VP, product management. consumer appliances and commer-
diate production use and an 80mW “Klaran continues to focus and cial water treatment.
engineering sample to its WD Series. achieve key milestones which allow www.cisuvc.com/products/klaran

Picosun delivers production ALD systems to Asia


for solid-state lighting device manufacturing
Atomic layer deposition (ALD) superior-quality ALD films can lead these applications. It combines fast
thin-film coating technology firm to not only material and energy batch production capacity with
Picosun Group of Espoo, Finland savings but also longer end-product vacuum loading for the highest ALD
has been chosen by a “major Asian lifetime, says Picosun. film quality and purity,” he adds.
customer” to deliver significant “ALD films enhance the perform- “A facility of multiple P-300BV
ALD production capacity for manu- ance and lengthen the lifetime of ALD tools that shall be installed in
facturing of solid-state lighting solid-state lighting devices,” says their premises will significantly
devices. Edwin Wu, CEO of Picosun Asia strengthen our position in this
Replacing thick, lower-quality Pte Ltd. “Our Picosun P-300BV ALD [solid-state lighting] market.”
coatings with ultra-thin but system is specifically designed for www.picosun.com

semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020 www.semiconductor-today.com


42 News: LEDs

Ecosense acquires Soraa’s assets


LED technology company enters lamp replacement category
Los Angeles-based LED technology “This acquisition accelerates our Soraa was co-founded by Shuji
company Ecosense has acquired strategy into the next wave of Nakamura, a 2014 Nobel Laureate
assets from Soraa Inc of Fremont, lighting,” says Ecosense’s CEO in Physics for his invention of the
CA, USA, a designer and manufac- Mark Reynoso. “Soraa has an blue LED. “Soraa is a pioneer in
turer of full-spectrum LED lamps unparalleled reputation for beam full-spectrum and circadian light-
and fixtures, including LEDs fabri- and spectral quality,” he comments. ing,” says Nakamura. “Combining
cated from pure gallium nitride “Their intellectual property and with Ecosense, we significantly
substrates (GaN on GaN). technology portfolio — including expand our leadership and intellec-
Ecosense acquires the Soraa ZEROBLUE, full spectrum, and tual property rights in these and
name, intellectual property and healthy lighting — highly comple- related fields.”
lamps, including the firm’s signa- ments our own intellectual property To maintain consistency and qual-
ture VIVID, BRILLIANT HL and and related technologies. We will ity of service, Soraa will continue to
HEALTHY product lines. The move prioritize enhancing and accelerat- operate as its own distinct brand.
is the Ecosense’s first foray into the ing their antibacterial technology to www.soraa.com
professional lamp category. market.” www.ecosenselighting.com

Bridgelux launches eighth generation of COB LEDs,


deliver 185lm/W efficacy at 3000K 80 CRI
Bridgelux Inc of Fremont, CA, USA ● increased lumens per dollar, fur- light source); expansions in the
(a vertically integrated manufac- ther reducing the cost of solid-state Vesta Flex dual-channel driver and
turer of solid-state light sources lighting; controls family to now include
for lighting applications) has ● optical and mechanical consis- Casambi and Silvair control
announced its eighth generation of tency with previous generations, modules; and the new Vesta SE
chip-on board (COB) LED products, enabling a seamless upgrade path (the first dim-to-warm and tunable
delivering luminous efficacy of up leveraging existing ecosystem white COBs with integrated holders
to 185lm/W across its V Series, components; to simplify and standardize
Vero Series and Vero SE Series ● broad range of COB size and mechanical, optical, and electrical
families at nominal drive current. performance options from LES 8mm connections).
This performance is benchmarked to LES 29mm; “The lighting market continues to
at the popular 3000K 80 CRI ● improved alignment with indus- evolve, with some suppliers reduc-
(color rendering index) point, with try-standard drive currents, ing their focus on lighting,” says
efficacies above 200lm/W possible enabling the use of economical LED CEO Tim Lester. “Bridgelux is a
at other color points across the drivers to further reduce costs; technology partner for our cus-
industry’s broadest range of COB ● technologically equivalent with tomers that remains committed to
products. existing Bridgelux seventh-genera- lighting. Our expanded portfolio
In addition to reclaiming the tion COB LM-80 data. further enhances our ability to sup-
industry lead in COB efficacy, it is With more efficient light sources port our customers in navigating
claimed, the products have the available for an entire lighting the market transition toward
following additional features: ecosystem, customers are now human-centric lighting, the right
● nominal light output optimized better equipped to meet evolving light, in the right place, at the right
to align with traditional lamp stan- energy standards and rebate time,” he adds.
dards; incentive programs without Bridgelux Gen 8 products are
● standard warranty of up to compromises in quality of light, available now for sampling and
10 years; says the firm. ordering, with production ship-
● efficacy improvements of up to In addition launching new Gen 8 ments commencing
10% compared with previous products, Bridgelux continues to www.bridgelux.com/products/
product generations; expand its portfolio of human-centric v-series
● up to 3x overdrive capability, lighting solutions. New products www.bridgelux.com/products/
delivering a 30% increase in under development include the new vero-series
maximum lumens per LES (light- Vesta Thrive COB (said to be the www.bridgelux.com/products/
emitting surface) size; first tunable white natural-spectrum vero-se-series

semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020 www.semiconductor-today.com


News: LEDs 43

Plessey extends Data-Vμ micro-LED product family


with passive-matrix micro-display
UK-based Plessey, which develops “Micro-LED displays are now the
embedded micro-LED technology go-to technology for next-genera-
for augmented-reality and mixed- tion developments requiring power-
reality (AR/MR) display applications, efficient and ultra-bright displays,”
has added passive-matrix micro-LED says senior micro-LED product
displays to its Data-Vµ product sales manager Leon Baruah. “With
family. the introduction of our Data-Vµ
Following the launch of its Data-Vµ passive-matrix displays, appli-
segmented micro-LED display cations where dynamic content
products in 2019, Plessey has now needs to be displayed in a small
extended the range with the intro- Plessey’s 48 x 36 passive-matrix form factor that is outdoor readable
duction of passive-matrix pixelized micro-LED display. without compromising on battery
micro-LED displays, based on life have become reality.”
the firm’s proprietary monolithic inherent in Plessey’s micro-LED The Data-Vµ passive-matrix
gallium nitride on silicon (GaN-on-Si) technology to produce visual images displays are designed to be driven
technology. that can be viewed under a wide from a driver IC, requiring no
These fully addressable micro- range of environmental conditions active-matrix backplane. The drive
displays can provide the high- and at low power consumption. architecture, along with its small
brightness/low-power image source Plessey has demonstrated its first form factor, makes the Data-Vµ
demanded by the advanced com- monochrome passive-matrix micro- passive-matrix displays a suitable
pact optical projectors embedded LED display with a resolution of and cost-effective solution for AR
in AR/MR information systems. 48 x 36 monochrome pixels using smart glasses and head-mounted
The displays can be customized to its native technology capability and a displays for navigation, sport &
provide the specific resolution and new pixel architecture that improves leisure, wearables and optical
color that customers require to light extraction. The roadmap for instruments where dynamic con-
present their dynamic content this platform includes the develop- tent can be displayed, reckons
specific to their applications. These ment of higher-resolution displays Plessey.
applications can take advantage of up to 128 RGB x 128 (or 384 x 128 www.plesseysemiconductors.com/
the high brightness and contrast monochrome) by the end of 2020. products/microleds

Osram’s new Synios P2720 Converted Red LED


improves visibility in dense fog
Osram Opto Semiconductors GmbH able to manufacturers greatly brightness to only around 10%,
of Regensburg, Germany says increases. The Synios P2720 CR LED says Osram. Customers enjoy bet-
that its new Synios P2720 CR addresses this trend of miniaturiza- ter performance, while manufactur-
(Converted Red) provides many tion. Despite its small dimensions, ers benefit because considerably
benefits to manufacturers of rear it offers what is claimed to be out- fewer individual LEDs are required.
combination lamps for automotive standing performance in applications. Fewer LEDs means that the
applications, such as enabling One of the main issues with required heat sink can be very
compact rear fog lamp designs. monochromatic LEDs for rear fog compact, saving additional space
Dense fog and poor visibility applications is the enormous loss of and weight. Rear lamp manufactur-
repeatedly lead to serious rear-end brightness (degradation) of about ers can choose between two differ-
collisions. In poor weather conditions, 50% in operating temperatures of ent chip sizes (0.5mm2 or 1mm2),
good visibility through a bright 60–70°C. Until now, rear lamp with typical lumen output and bin-
rear fog light is key. manufacturers had to compensate ning current of 49lm and 350mA
The trend for both headlamps and for this physically induced gap by and 103lm and 700mA respec-
rear combination lamps is clear: increasing the number of LEDs, tively, depending on the design of
the more compact and efficient the which also increased the space the application. The space-saving
components used, the better. While requirements. Now the new, package dimensions of just
the installation space required conversion-based Synios P2720 CR 2.0mm x 2.7mm x 0.6mm remain.
decreases, the design options avail- can dramatically reduce this loss of www.osram-os.com

www.semiconductor-today.com semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020


44 News: Optoelectronics

Kei May Lau receives 2020 Nick Holonyak Jr Award


The Optical Society (OSA) has Amherst, and physics and fabrication to improved
named Kei May Lau of Hong Kong initiated metal- device performance, to effective
University of Science & Technology organic chemical multi-device integration. The bene-
(HKUST) as the 2020 recipient of vapor deposition fits of integrating high-performance
the Nick Holonyak Jr Award for (MOCVD) com- III-V-based devices onto a silicon
“significant contributions to hetero- pound semicon- substrate leverages the capabilities
epitaxy of compound semiconduc- ductor material and infrastructure of the CMOS
tors on silicon for future integrated and device pro- silicon industry, extending them to
lasers and advancing the field of Kei May Lau. grams. Since the photonic and electronic integrated
light-emitting diode micro-displays”. fall of 2000, she devices/circuits at high frequencies.
“Kei May Lau’s work on semicon- has been with the ECE Department Established in 1997, the Nick
ductor-based optical devices and at HKUST and serves as Fang Holonyak Jr Award recognizes
materials exemplifies the spirit of Professor of Engineering. Lau is a significant contributions to optics
the Nick Holonyak Jr Award,” Fellow of OSA, the Hong Kong based on semiconductor-based
comments 2020 OSA president Academy of Engineering Science optical devices and materials,
Stephen D. Fantone, founder & and IEEE. She is a recipient of the including basic science and techno-
president of the Optikos Corp. “Her Croucher Senior Research Fellowship logical applications. It honors
contributions in the advancement (2008), and the IEEE Photonics Holonyak Jr’s contributions to
of science and technological appli- Society Aron Kressel Award (2017). optics through the development of
cations are very significant.” Lau’s work focuses on the devel- semiconductor-based light-emitting
Lau received B.S. and M.S. degrees opment of monolithic telecom-band diodes and semiconductor lasers.
in physics from the University of diode lasers directly grown on The award is endowed by SDL
Minnesota, Minneapolis, and her (001) silicon substrates. She com- Ventures LLC as well as Donald and
Ph.D. in Electrical Engineering from bines innovation in MOCVD-based Carol Scifres.
Rice University. She was on the ECE growth of heterostructure materials www.ust.hk
faculty at University of Massachusetts with insights into both device www.osa.org

CST Global develops QKD emitter and detector


technologies for unhackable network communications
As part of a UK government-funded Developed as part of CST Global’s secure HTTPS key server,” notes
project, III-V optoelectronic core competence in quantum CST Global’s chief technology officer
foundry Compound Semiconductor technologies, QKD is a result of Andrew McKee. “CST Global’s QKD
Technologies Global Ltd (CST Global) CST Global’s involvement in the solution will also do this, however it
of Glasgow, Scotland, UK (a sub- Quantum Ring Single Photon LED can encrypt every single packet of
sidiary of Sweden’s Sivers IMA (QR-SPLED) government-funded data within a session, in real time,
Holdings AB) has developed project and the ‘Single Photon making it significantly more
quantum key distribution (QKD) Infrared Detectors for Quantum secure,” he adds. “However, QKD’s
emitter and detector technologies, Systems’ project with Amythest speed allows direct client-server
which can enable totally secure research and Lancaster University. communications and it will detect
network communications. Suiting QKD requires a single-photon tamper attempts, aborting ‘suspect’
mission-critical, client-server appli- quantum light source (QLS) to sessions. This makes QKD-based
cations (where unbreakable secu- transmit data and a single-photon network security totally unhack-
rity codes prevent hacking and detector (SPD) to receive it. CST able.”
malicious attack), QKD can be Global has both QLS and SPD tech- Operating at room temperature,
applied to existing IT infrastructures nologies developed to microscale, CST Global’s QKD solution is poten-
and offers a high-security data through government-funded proj- tially small enough, cheap enough
transmission option within the ects. The SPD receiver also operates and practical enough for deploy-
rapidly expanding optical communi- at room temperature, instead of at ment in PC, server or smartphone
cations market (within which the the impractical cryogenic tempera- applications. The firm is actively
market for edge-emitting lasers tures of previous solutions. seeking a commercial partner inter-
specifically is growing from $1.385bn “High-security authentication of ested in developing a dedicated
in 2018 to $3.397bn by 2024, client-server sessions in today’s IT nanoscale chip for widespread use.
estimates Yole Developpement). infrastructures are encrypted via a www.CSTGlobal.uk

semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020 www.semiconductor-today.com


News: Optoelectronics 45

Rockley Photonics gains investment from


Ahren Innovation Capital
UK-based fund targets AI and healthcare applications
Rockley Photonics of Pasadena, CA, has potential in sensor applications, designing a bespoke fabrication
USA (formed in 2013 to develop a where low-cost integrated optics process, Rockley has created a
versatile, application-specific silicon solutions are sought for areas such highly versatile platform solution.
photonics platform for optical integ- as in machine vision and environ- Importantly, the technology can be
ration in next-generation sensor mental sensing. Its technology also manufactured cheaply and at scale
systems and communications net- boosts performance in high-density for high-volume markets,” she
works) has gained an investor in the communications applications, such comments.
form of Ahren Innovation Capital of as data-center computer connectiv- “Ahren’s partners have excep-
Cambridge, UK, an investment fund ity, 5G infrastructure and AI cluster tional credibility and their support
that focuses on transformational computing environments, where for Rockley further validates our
companies at the intersection of using photonics eliminates the per- technology, strategy and our expe-
deep tech and deep science that formance constraints of traditional rienced team,” says Rockley’s
will penetrate, or create, massive electrical connections. founder & CEO Andrew Rickman.
markets. Its four broad fields of “Rockley’s platform aligns closely “Silicon photonics enables novel
activity include the brain and artifi- with our interests and spans very solutions that unlock extraordinary
cial intelligence (AI); genetics and well across the application space of application capabilities. Our unique
platform technologies; space and our target markets, especially as an manufacturing processes, expertise
robotics; and efficient energy. enabling technology in new AI and and solutions put us several years
Rockley says that its silicon pho- healthcare opportunities,” says ahead of the competition,” he
tonics platform enables fundamental Ahren’s founding & managing believes.
advances to be made across multiple partner Alice Newcombe-Ellis. www.rockleyphotonics.com
mega-trend markets. The technology “By starting with a clean sheet and www.ahreninnovationcapital.com

POET to receive Tranche 2b payment for


DenseLight subsidiary by end-March
POET Technologies Inc of Toronto, the buyer of its Singapore-based “Although we elected not to par-
Ontario, Canada — designer and subsidiary DenseLight Semiconduc- ticipate in the recent Optical Net-
developer of the POET Optical tors Pte Ltd) on or before 31 March. working and Communication
Interposer and photonic integrated The Overseas Direct Investment Conference & Exhibition (OFC) in
circuits (PICs) for the data-center (ODI) application submitted by the San Diego, we have been conduct-
and telecom markets — says that, buyer has been accepted by the ing multiple virtual meetings with
in connection with its public offer- Chinese authorities in Shanghai potential customers, strategic part-
ing managed by Toronto-based and approval of the transfer to ners and financial analysts that we
Cormark Securities Inc (completed POET is expected soon. The had planned to see at OFC to
on 21 March 2018), it is extending remaining Tranche 3 payment of review the benefits of the POET
the two-year exercise period by US$5m is expected to be paid by Optical Interposer across a number
four months (to 23 July) for a total the end of May. of potential applications,” says
of 12,545,350 common share pur- In response to the potential risks president & general manager Vivek
chase warrants granted to associated with COVID-19, POET Rajgarhia. “The response has been
investors, all of which are exercis- has taken certain preventive meas- very positive, and our discussions
able at C$0.75 per share. All other ures to ensure its business remains have focused on products in which
terms and conditions of the warrants operational while also protecting our platform can bring tremendous
remain unchanged. The warrant employees, including working from value to their offerings.”
extension, approved by the board home, social distancing among Due to the uncertainty of travel,
of directors, has been accepted by team members, sanitation of test POET’s board has deferred setting a
the firm’s Indenture Trustee and equipment and workstations, and a date and location for its next
the TSX Venture Exchange. split rotation schedule that reduces Annual General Meeting for about
POET also reported that the the impact to operations in the 6 weeks and is considering using the
Tranche 2b payment of US$8.25m event that infection requires quar- ‘TSX Trust Virtual Alternative’ platform.
is on schedule to be paid to it (by antining of staff. www.poet-technologies.com

www.semiconductor-today.com semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020


46 News: Optical communications

Sanan IC expands foundry manufacturing services


for global optical market
Large-scale foundry targets customized VCSELs and arrays,
and standard products for optical communications
Sanan Integrated Circuit Co Ltd communication and consumer capacity for gallium arsenide
(Sanan IC) of Xiamen City, Fujian application markets,” says Sanan IC’s (GaAs) and indium phosphide (InP)
province (China’s first 6-inch CEO Raymond Cai. “Cutting-edge epi growth, and epitaxial wafer
pure-play compound semiconductor optical products and foundry services fabrication on 2-, 4- and 6-inch
wafer foundry) has announced the are paramount to accommodating platforms.
worldwide expansion of its optical the rapid adoption of automotive, Sanan IC’s portfolio of optical
portfolio. Using its materials and Big Data and 5G wireless communi- manufacturing technology includes
foundry supply network, Sanan IC cations technologies,” he adds. a family of high-power diode lasers
aims to provide the global optical “Sanan IC’s robust supply chain that deliver high brightness and
market with large-scale foundry and state-of-the-art technology can provide what is claimed to be
services for customized vertical- meet these demands, which is why exceptional reliability for a variety
cavity surface-emitting lasers we are committed to making our of markets, such as medical,
(VCSELs) and arrays, along with components and services commer- datacom, telecom and printing.
standard products for optical com- cially available worldwide.” Additionally, Sanan IC offers a
munication applications. Sanan IC says that, with a broad range of foundry services for
Sanan IC’s worldwide launch range of wavelengths available applications including:
comes follows LightCounting’s report in-house, it enables fast, cost- ● 2D, 3D and proximity sensors,
that the optical transceiver market effective design and manufacturing as well as illuminators for consumer
will rise at a 15% compound annual of high-power VCSEL lasers, high- and mobile;
growth rate (CAGR) from 2020 speed VCSEL lasers, distributed ● HDMI 2.0 and USB 3.0 active
through 2024. Additionally, Yole feedback (DFB) lasers, avalanche optical cables (AOC);
Développement analysts expect the photodiodes (APD) and monitor ● light detection & ranging (LiDAR);
global 3D imaging and sensing photodiodes (MPD). Experienced ● 100G, 200G and 400G high-
market to grow at a 20% CAGR from engineering teams and advanced speed, high-capacity data-center
$5bn in 2019 to $15bn in 2025. process tools enable the firm to interconnect (DCI);
“Our executive team recognizes deliver turn-key solutions. With the ● Front-haul and back-haul 5G
the tremendous business opportu- ability to ensure its supply chain, infrastructures.
nities in serving high-growth optical Sanan IC provides a dedicated www.sanan-ic.com/tech/3

Infinera breaks record with 800G transmission


over 950km in live network trial
Infinera Corp of Sunnyvale, CA, cost per bit of telecoms networks. vertically integrated ICE6 optical
USA, a vertically integrated manu- The trial showcased the ability of engine, which features second-
facturer of digital optical network Infinera’s 800G technology to enable generation Nyquist subcarriers,
systems incorporating its own network operators to rapidly and 64QAM with per-subcarrier long-
indium phosphide (InP)-based cost-effectively address the rising codeword probabilistic constellation
photonic integrated circuits (PICs), capacity demands of new services shaping, and per-subcarrier
has completed a live network trial such as 5G, enhanced broadband, dynamic bandwidth allocation.
of 800Gb/s single-wavelength and cloud-based business services. “The success of this trial proves
transmission at 96Gbaud over Conducted over a third-party our ability to transmit 800G high-
950km across a long-haul link in a optical line system carrying live baud-rate signals across significant
major North American network multi-vendor traffic, the production distances, which will be instrumen-
operator’s production network. network trial was implemented tal in driving down network costs,”
Powered by its sixth-generation using Infinera’s Groove (GX) Series, says chief technology officer
dual-800G Infinite Capacity Engine equipped with an ICE6-based sled Parthi Kandappan. “This marks
(ICE6) technology, the achievement over industry-standard G.652 fiber. another major accomplishment for
is claimed to signal an industry The results of the trial demonstrated Infinera’s Optical Innovation Center.”
milestone in driving down the the performance value of Infinera’s www.infinera.com

semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020 www.semiconductor-today.com


News: Optical communications 47

AOI launches 25Gbps LWDM cooled TO-packaged lasers


Applied Optoelectronics Inc (AOI) 25G LWDM solution for 5G front- standard eye mask.
of Sugar Land, TX, USA — haul allows for sharing of the exist- “As we begin to see widespread
a designer and manufacturer of ing fiber infrastructure, reducing deployment of advanced 5G mobile
optical components, modules and 5G network deployment costs, sav- communications networks in 2020,
equipment for fiber access net- ing fiber resources, and improving we believe that AOI’s ability to sup-
works in the Internet data-center, 5G performance. Because the ply the needed LWDM channels and
cable TV broadband, fiber-to-the- remote radio heads (RRHs) are quantities of high-performance
home (FTTH) and telecom markets often installed outdoors in environ- laser diodes is an important consid-
— has announced the availability mentally challenging locations, the eration for our customers,” says
(for sample requests) of 25Gbps ability to operate reliably over a David Chen, assistant VP & senior
local-area network—wavelength- wide operating temperature range director of product management.
division multiplexing (LWDM) is critical. “Our proprietary laser fabrication
cooled transistor outline (TO)- AOI says that its 25G LWDM TO- process and in-house epitaxy, fabri-
packaged laser diodes for 5G cans operate at industrial tempera- cation and packaging operations
front-haul applications. tures between –40°C and +85°C allow us to develop new varieties
In emerging 5G networks, the with low power consumption. The of laser diodes with the needed
front-haul link is the critical fiber- devices are housed in a tempera- temperature operating parameters,
optic segment between the ture-controlled, hermetically sealed and also rapidly transition these
antenna unit (radio head) and the TO format. The output power can devices into high-volume production
remotely located base station, from exceed 9dBm and an eye-mask to meet our customers’ needs,”
which connections to the wider margin (EMM) of greater than 30% he adds.
telecom network emanate. The is achievable with the IEEE 802.3cc www.ao-inc.com

Furukawa develops pump source for forward Raman


amplifiers, boosting speed and extending reach
Furukawa Electric Co Ltd (FEC) of Raman amplifiers, which make the achieved through an optimized
Tokyo, Japan has developed most of the advantages of Raman heat dissipation design.
FRSi4XX Series pump sources for amplification, are expected to be Operating at wavelengths of
forward Raman amplifiers that necessary for increasing transmis- 1420–1500nm with wavelength
extend transmission distances in sion distances. spectrum width of >25nm, the
ultra-high-speed optical fiber com- In the past, only the backward FRSi4XX pump series reduces noise
munications further than conven- Raman amplifier was used due to by about 20dB/Hz compared with
tional systems. limitations of the noise characteris- conventional pump sources for
Proliferation of smartphones has tics of the pump source. Furukawa Raman amplifiers.
led to a dramatic increase in com- Electric says that its new FRSi4XX Combining the FRSi4XX Series
munication traffic, including the Series pump sources make it possi- with the existing FOL1439 Series
expansion of wireless backbones, ble to realize forward Raman ampli- yields pump sources especially well
cloud computing, video streaming fiers and feature high output as suited to forward pumping Raman
and the penetration of social net- well as excellent low-noise charac- amplifiers, reckons the firm.
works. To deal with this traffic teristics. Furukawa Electric says that, as
explosion, improvement in optical The important characteristics of demand for ultra-high-speed opti-
signal-to-noise ratio (OSNR) is these products are high power out- cal fiber communications continues
becoming an important factor in put and low noise. Furukawa to grow, it will further enhance
soon-to-be-deployed ultra-high- Electric says that it achieved these the technology of this series and
speed optical fiber communications characteristics by leveraging the contribute to the construction of
such as 400Gbps and beyond. design, manufacturing technology information and communications
Existing erbium-doped fiber ampli- and high-precision packaging of its infrastructure in anticipation of the
fiers (EDFA), which are widely used indium phosphide (InP) optical advancement of 5G. Sample ship-
in existing systems, do not have semiconductor chip. The result is a ments of the FRSi4XX Series are
sufficient OSNR performance. pump source with a high-output scheduled to begin in second-half
Demand is increasing for Raman chip structure and high-efficiency 2020.
amplifiers due to their excellent coupling technology. The optical www.furukawa.co.jp/english
noise characteristics. Forward output of 100mW or more was www.ofsoptics.com

www.semiconductor-today.com semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020


48 News: Optical communications

First bufferless 1.5μm III–V lasers grown directly


on silicon wafers in Si-photonics
Pulsed optical excitation leads to target of first electrically driven
1.5μm III-V lasers
Hong Kong University of Science and different III–V compounds on SOIs
Technology (HKUST) has reported with different silicon device layer
what it reckons is the first 1.5μm thicknesses.
III–V lasers grown directly, without Using indium phosphide (InP) as
a buffer layer, on industry-standard an example, the reseachers detailed
220nm SOI (silicon-on-insulator) the growth process and then char-
wafers using metal-organic chemical acterized and evidenced the crys-
vapor deposition (MOCVD), poten- talline quality of the epitaxial III–V
tially paving the way to interfacing materials through extensive trans-
with Si-based photonic devices and Schematic of III-V laser array mission electron microscopy and
the subsequent realization of fully directly grown on Si-photonics photoluminescence measurements.
integrated silicon photonic circuits 220nm SOI platform. The team designed and fabricated
(Yu Han et al, ‘Bufferless 1.5µm designed and fabricated both pure
III–V lasers grown on silicon pho- integration of photonic functionalities InP and InP/InGaAs lasers with
tonics 220nm silicon-on-insulator onto conventional Si-based elec- air-clad cavities based on numerical
platforms’, Optica, vol7, issue2, tronic platforms. Integration could simulations. Testing the devices
p148). Previous demonstrations produce optoelectronic integrated showed that the lasers could
required non-industry-standard circuits with unparalleled speed and sustain room-temperature and
bulk silicon or thick SOI wafers. functionalities, and enable new low-threshold lasing in both the
Bridging the active III-V light applications. Yet fundamental 900nm band and the technologically
sources with the passive Si-based differences between silicon and important 1.5μm band under pulsed
photonic devices, the development III–V materials means it is extremely optical excitation. The demonstration
could be deployed as light sources challenging to directly grow III–V leads to the potential to mono-
in integrated circuits to greatly functionalities on the silicon. lithically integrate III–V lasers on
improve circuit speed, power effi- Lau’s group at HKUST’s Phonics the industry-standard 220nm SOI
ciency and cost-effectiveness. Technology Center has endeavored wafers in an economical, compact,
In other conventional approaches to integrate III–V materials and and scalable way.
of integrating III–V lasers on silicon functionalities on mainstream silicon “If practically applied, our technol-
in the literature, III–V buffers up to wafers for over a decade, innovating ogy could enable a significant
a few microns thick are used to and optimizing various approaches improvement of the speed, power
reduce the defect densities, which to improve the performance of consumption, cost-effectiveness
poses huge challenges for efficient III–V lasers grown on silicon, with the and functionality of current Si-
light interfacing between the epi- goal of progressively approaching based integrated circuits,” says Lau.
taxial III–V lasers and the Si-based the requirements of the industry. “Our daily electronic devices, such
waveguides. This work is part of their project on as smartphones, laptops and TVs —
Now, a team led by professor Lau monolithic integration of III–V basically everything connected to
Kei-May of HKUST’s Department of lasers on silicon. the Internet — will be much faster,
Electronic and Computer Engineering Taking advantage of the constituent cheaper, using much less power
and post-doctoral fellow Dr Han Yu diffusivity at elevated growth and multi-functional,” she adds.
have devised (for the first time, it is temperatures, the reseachers first “The next step of our research will
reckoned) a novel growth scheme devised a unique MOCVD growth be to design and demonstrate the
to eliminate the requirement of scheme for the direct hetero-epitaxy first electrically driven 1.5μm III–V
thick III–V buffers, promoting the of high-quality III–V alloys on lasers directly grown on the 220nm
efficient coupling of light into silicon the 220nm SOI wafers through SOI platforms, and to devise a
waveguides. The bufferless feature synergizing the conventional scheme to efficiently couple light
points to fully integrated Si-based aspect ratio trapping (ART) and the from the III–V lasers into silicon
photonic integrated circuits. lateral ART methods. In contrast to waveguides and thereby conceptu-
Improvements in the efficiency of prevalent epitaxy inside V-grooved ally demonstrate fully integrated
conventional electronic data systems pockets, the method features silicon photonics circuits,” Han says.
cannot catch up with the soaring epitaxy inside trapezoidal troughs, www.doi.org/10.1364/OPTICA.381745
data traffic, which calls for the enabling the flexible integration of www.ust.hk

semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020 www.semiconductor-today.com


News: Optical communications 49

C-band InP DFB lasers from CST Global


incorporated into imec’s iSiPP platform
Imec collaborating with CST to extend silicon photonics portfolio
with hybrid integrated InP light sources
Nanoelectronics research centre “Our first passive laser
imec of Leuven, Belgium and assemblies have demon-
III-V optoelectronic foundry strated excellent initial
Compound Semiconductor Tech- results,” says Joris Van
nologies Global Ltd (CST Global) of Campenhout, program direc-
Glasgow, Scotland, UK (a sub- tor Optical I/O at imec.
sidiary of Sweden’s Sivers IMA “Throughout 2020, we will
Holdings AB) have announced the further optimize the precision
integration of indium phosphide and throughput of the laser
(InP) distributed feedback (DFB) assembly process; extend the
lasers from CST Global’s InP100 functionality to include RSOA
platform into imec’s integrated integration at 1310/1550nm
silicon photonics platform (iSiPP). wavelengths; and perform
Interfaces for hybrid integration of reliability qualification.
InP DFB lasers and reflective semi- Scanning electron microscope image of InP We expect that the availability
conductor optical amplifiers (RSOA) DFB laser assembled on silicon photonics of these hybrid, integrated
will become available as part of light sources will boost indus-
imec’s silicon photonics prototyping large physical form factor; and a trial uptake of SiPho devices in a
services in first-half 2021, following substantial packaging cost. variety of cost-sensitive markets.
further optimization and qualifica- Imec recently joined forces with Early access through imec’s iSiPP200
tion work in 2020. This joint imec- CST Global to extend imec’s SiPho prototyping services is anticipated
CST Global technology offering is technology portfolio with passively by the first half of 2021,” adds
expected to boost the adoption of assembled, edge-emitting InP Campenhout.
silicon photonics in cost-sensitive DFB lasers and InP RSOAs. This “The hybrid integration of InP
applications, including optical inter- collaboration, which started in light sources (DFBs and RSOAs),
connects, sensing, computing and 2019, has now resulted in the first designed and fabricated on CST’s
beyond. successful assemblies of C-band InP100 manufacturing platform,
Silicon photonics (SiPho) technol- (1530–1565nm) InP DFB lasers combined with the iSiPP platform,
ogy has made great progress over onto imec’s iSiPP platform. The allow the creation of powerful
the past decades and is used InP lasers were flip-chip integrated photonic integrated circuits (PICs)
extensively in a variety of appli- onto the SiPho circuits through a for advanced components with
cations — from fiber-optic commu- die-to-die bonding process, aligning improved performance and lower
nications to sensing. Technology efficiently and coupling >5mW into cost in the future,” says CST Global’s
platforms have evolved into mature the SiN waveguides on the SiPho chip. integration manager Antonio
vehicles and are available to indus- Samarelli. “We
try and academia for prototyping, will continue to
low-volume and higher-volume work closely with
manufacturing. However, a widely imec to extend
available, cost-effective solution to the functionality
integrate light sources in SiPho and capabilities
chips has been missing, hampering of the InP100
the adoption of SiPho in cost-sensi- platform to meet
tive markets. Since silicon itself the InP light
does not emit light efficiently, light source require-
sources made of III–V semiconduc- ments of novel,
tors, such as indium-phosphide advanced PICs
(InP) or gallium-arsenide (GaAs), for high-volume
are typically implemented as sepa- commercial
rately packaged components. Such applications.
external light sources typically suf- Microscope image of indium phosphide DFB laser ww.imec.be
fer from higher coupling loss; a assembled on silicon photonics chip. www.cstglobal.uk

www.semiconductor-today.com semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020


50 News: Optical communications

II–VI unveils first IC-TROSA 64Gbaud coherent optics


subassembly for 400ZR QSFP-DD data-center interconnects
Engineered materials and optoelec- integrated coherent optics platform,
tronic component maker II–VI Inc a giant leap in integration density
of Saxonburg, PA, USA has intro- and a new benchmark for the
duced its 64Gbaud integrated industry,” claims chief marketing
coherent transmitter-receiver opti- officer Dr Sanjai Parthasarathi.
cal subassembly (IC-TROSA) — “Our new IC-TROSA demonstrates
claimed to be the industry’s first — the inherent power of a world-class
for the 400ZR standard in data- indium phosphide technology
center interconnects. platform that not only can lead the
The rapid growth in transmission industry in the migration to 400ZR
capacity requirements in optical II–VI’s new 64Gbaud integrated coherent optics but also drive a
backbone networks and between coherent transmitter–receiver broad range of use cases beyond
data centers is driving demand for optical subassembly (IC-TROSA). what competing technologies can
next-generation transmission plat- achieve, based on its highly differ-
forms that can scale more econom- output power in ultradense entiated performance in output
ically, with increasing power pluggable form factors, including power,” he adds.
efficiency and in smaller form factors. OSFP and QSFP-DD. The IC-TROSA can support various
II-VI’s IC-TROSA is a highly integ- “Building on the success of our modulation formats of up to 16QAM
rated coherent optics subassembly integrated tunable transmitter–receiver at baud rates of up to 64Gbaud in
with an embedded optical amplifier assembly, we are now excited to a flex-grid environment, with full
that can deliver up to 0dBm of introduce our next-generation C-band support.

II–VI launches 400G CFP2-DCO pluggable transceivers for


high-speed backbone networks and data-center interconnects
II–VI Inc has introduced the 400G volume manufacturing with such
CFP2-DCO, its first module to sup- a product.”
port high-performance 400Gbps For long-haul applications, the
coherent transmission in a plug- module supports 200Gbps trans-
gable form factor for high-speed mission employing 64GBaud QPSK
optical backbone networks and modulation, which enables signifi-
data-center interconnects. cantly longer reach than existing
The rapid growth in transmission 200G CFP2-DCO modules that
capacity requirements in optical use 32GBaud 16QAM modulation.
backbone networks and between For networks requiring channels
hyperscale data centers is driving II–VI’s new 400G CFP2-DCO on a 50GHz grid, a 200Gbps mode
the demand for next-generation module. using 41GBaud 16QAM modulation
transmission platforms that can with enhanced OSNR performance
scale more economically, with 16QAM modulation and can be is available. The module can
increasing power efficiency, and in tuned to 64 wavelength channels multiplex up to four 100G client
smaller form factors. II-VI’s 400G on a 75GHz grid, enabling a total signals and supports a mix of
CFP2-DCO is based on the latest transmission capacity of up to IEEE 100G Ethernet and ITU-T
generation of 7nm CMOS digital 25.6Tbps over a single fiber. Optical Transport Network OTU4 or
signal processing (DSP) technol- “This 400G coherent optics OTUC (FlexO) host-side interfaces.
ogy that achieves what is said to module leverages our mature In addition, IEEE 200G Ethernet,
be the state of the art in power integrated tunable transceiver 400G Ethernet as well as ITU-T
consumption efficiency, in a assembly (ITTRA) coherent optics OTUC2, OTUC3 and OTUC4 host-
small pluggable form factor, platform, which has been shipping side interfaces are supported.
which enables a pay-as-you-grow for over a year,” says Matthias The 400G CFP2-DCO module is
deployment model for line-side Berger, VP, Coherent Optics available for sampling and will be
interfaces. Each module can trans- business unit. “Our ITTRA is the generally available in second-half
port up to 400Gbps of data over a key reason we expect to become 2020.
single wavelength using 64GBaud the first in the industry to reach www.ii-vi.com

semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020 www.semiconductor-today.com


News: Optical communications 51

II–VI introduces high-speed indium phosphide


electro-absorption modulated lasers for
datacenters and 5G optical infrastructure
Engineered materials and optoelec- firm’s EML devices are designed for highly reliable InP technology plat-
tronic component maker II–VI Inc of high reliability and high signal form is one of the very few in the
Saxonburg, PA, USA has launched integrity, enabling transceiver industry that has been proven with
high-speed indium phosphide (InP) modules operating at data rates of more than 100 million lasers in the
electro-absorption modulated lasers 100, 200, 400 and 800Gbps for field deployed over the last
(EML) for datacenters and 5G high-speed data-center connectivity decades,” he adds.
optical access infrastructure. and for optical access networks II-VI’s portfolio of InP components
The upcoming combined demand that provide fronthaul, midhaul and includes Fabry–Perot lasers,
for 400Gbps transceivers in backhaul connectivity to 5G wire- directly modulated lasers and
intra-datacenters and for 25Gbps less base stations. tunable lasers, as well as photo-
transceivers in fronthaul links to “Our highly proprietary electro- diodes for high-speed receivers and
5G antennas is rapidly driving a absorption modulator mono- power monitoring. Lasers are avail-
technology shift from directly lithically integrated with the laser able in LAN–WDM and CWDM
modulated laser (DML) devices, and designed for non-hermetic wavelength plans.
deployed in high-volume today, to packaging differentiates our InP II–VI’s electro-absorption modu-
more advanced EML devices that technology,” says Dr Charlie Roxlo, lated lasers will be generally
maintain transmission reach at VP, Indium Phosphide Devices available in second-half 2020.
higher bit rates, says II–VI. The business unit. “Our world-class and www.ii-vi.com

QSFP-DD800 MSA group unveils initial hardware spec


Group to act as incubator, collaborating with QSFP-DD MSA
Following its debut in September novel 2x1 connector/cage, with needs,” says QSFP-DD800 MSA
2019, the Quad Small Form Factor cabled upper ports as an option to co-chair Scott Sommers.
Pluggable Double Density 800 address signal loss issues associ- “With their superior system integ-
(QSFP-DD800) multi-source agree- ated with tradition PCBs. Looking ration and design flexibility, QSFP
ment (MSA) group has released a ahead, QSFP-DD800 promoters modules continue to be the corner-
new hardware specification for the will continue to work on new stone in building next-generation
QSFP-DD800 transceiver form factor. connector/cage variants, including networks and network equipment,
The MSA group was formed to 2x1 SMT versions that operate at especially as port speeds increase to
advance the development of 100Gbps per lane. 800G,” says co-chair Mark Nowell.
high-speed, double-density QSFP “As signal “Furthermore, their ability to
modules, which support 800Gbps integrity With their superior increase switch and routing band-
connectivity, and includes the and ther- system integration width density without sacrificing
following promoters: Broadcom, mal man- and design backwards compatibility with
Cisco, II-VI, Intel, Juniper Networks, agement QSFP-DD, QSFP56 and QSFP28
Marvell, Molex and Samtec. remain flexibility, modules provide network operators
The QSFP-DD800 MSA is focused challenges QSFP modules tremendous commercial and opera-
on the next generation of the for the continue to be tional advantages.”
QSFP family of modules. The new optical The QSFP-DD800 MSA group also
QSFP-DD800 1.0 specification is communi-
the cornerstone says that it will act as an incubator,
intended to be incremental to the cations in building collaborating with the QSFP-DD
existing QSFP-DD 5.0 specification. industry, next-generation MSA, to provide specifications to
As signal integrity and thermal our MSA that group with the intention of it
networks and
performance remain imperative, group is being included within the QSFP-DD
the transceiver pads have been confident network specifications. Therefore, companies
optimized to improve signal that its equipment, interested in joining and participating
integrity for 100Gbps performance solutions especially as in QSFP-DD800 development are
per lane without affecting back- will meet encouraged to join the QSFP-DD
wards compatibility. The new perform- port speeds MSA.
specification additionally defines a ance increase to 800G www.qsfp-dd800.com

www.semiconductor-today.com semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020


52 News: Optical communications

Ranovus collaborates with IBM, TE & Senko to design and


deliver multi-vendor co-packaged optics for data centers
Ranovus’ Odin 32 co-packaged optics to leverage IBM optical
interconnects, TE’s CP fine-pitch socket interposer and
Thermal Bridge technology and Senko’s fiber-optic connectivity
Ranovus Inc of Ottawa, Ontario, socket interposer technology can “Ranovus’ Odin platform was con-
Canada (a provider of multi-terabit be critical to 100Gbps high-density ceived with miniaturized components
interconnect solutions for data-center electrical packaging requirements. such as micro-ring resonators in a
and communications networks) has The integration of TE’s thermal monolithic electronic and photonic
announced a strategic collaboration bridge technology completes the integrated circuit to deliver highly
with IBM Inc, TE Connectivity and assembly by providing an innovative scalable solutions in support of single-
Senko Advanced Components Inc, solution for thermal management and multi-wavelength applications,”
providers of multi-terabit inter- of the switch, serializer/deserializer says chief technology officer Georg
connect solutions, to create an (SerDes) and optics necessary for Roell. “We are delighted to contribute
ecosystem to design and manufac- high reliability and long operating our IP and create an ecosystem to
ture multi-vendor solutions for life. remove a major hurdle for the
co-packaged optics applications in ● Senko’s fiber-optic connectivity adoption of co-packaged optics
data centers. solutions for optical coupling, solutions for data centers,” he adds.
The collaboration leverages: on-board/mid-board and faceplate “IBM is enthusiastic to bring to this
● Ranovus’ highly scalable Odin to support 100Gbps/lane-and- collaboration more than 45 years
silicon photonics engine beyond co-packaged optics history in microelectronics packaging
(launched earlier in March), equipment designs include low- and its deep experience in optical
which incorporates the firm’s profile and precision fiber coupler packaging,” says Paul Fortier, senior
multi-wavelength quantum dot laser assemblies, micro-sized on/mid- engineer, IBM business development.
(QDL), 100Gbps silicon photonics- board connectors, reflow-compat- “IBM’s optical assembly processes
based micro-ring resonator ible connector assemblies and leverage our automated high-volume
modulators and photodetectors, space-saving connector options semiconductor packaging, and IBM’s
100Gbps driver, 100Gbps trans- for faceplate. These will provide Assembly and Test division provides
impedance amplifier (TIA) and more efficiency, scalability and the co-packaged optics ecosystem
control integrated circuits in a flexibility in designs for co-pack- with a seamless end-to-end manu-
power-efficient and cost-effective aged optics equipment. facturing capability,” he adds.
EPIC in a single chip. With data-center traffic growing at “TE is pleased to be able to bring
● IBM’s fiber V-groove intercon- an unprecedented pace, the net- decades of socket expertise to this
nect packaging technology, which working infrastructure needs to co-packaging effort in the form of
is a robust and reliable assembly scale in capacity while maintaining the fine-pitch CP socket technology,”
technique to interface optical fibers its total power consumption and notes TE Connectivity technologist
to silicon photonics devices. This footprint, notes Ranovus. Today’s Nathan Tracy. “In addition, TE’s
process makes use of passive disaggregated Ethernet switch IC thermal bridge technology is a key
alignment techniques and achieves and optical module architecture enabler to the high-density packaging
low insertion loss across a wide does not provide the scalability and extreme thermal management
spectral range in both the O- and required to support the future necessary for co-packaging,” he adds.
C-band regimes. The solution is growth of the data centers, adds “Senko is pleased to contribute our
scalable in physical channel count the firm. Co-packaging of optics product designs and IP to enhance
and the automated process pro- and Ethernet switch ICs is a natural the design capability, scalability and
vides a path to high-volume manu- next step to reduce the power con- flexibility for the co-packaged
facturing of co-packaged optics. sumption burden of the electrical optics embedded products,” says
● TE’s co-packaged (CP) fine-pitch I/Os in the data-center networking Tiger Ninomiya, business develop-
socket interposer technology, equipment. The transition of the ment/product line manager at
which enables integration of Ethernet switch IC Ser/Des from Senko. “Innovative optical connec-
small chipset and optical engine 50Gbps to 100Gbps, in 25.6Tbps tivity solutions are key to making
component technologies into and 51.2Tbps switch configur- co-packaged optics’ solutions a
high-value co-package assemblies ations, presents a unique inflection success in the market.”
with reworkable and interoperable point in the architecture of the Eth- www.ranovus.com
interfaces. The signal integrity ernet switch systems, recons the www.ibm.com/assembly
performance of the CP fine-pitch firm. www.te.com

semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020 www.semiconductor-today.com


News: Optical communications 53

Ranovus launches single-chip silicon photonic engine to


support ML/AI workloads for data center & 5G mobility
Ranovus Inc of Ottawa, Ontario, “The massive growth in data traffic ations;
Canada (a provider of multi-terabit fueling the algorithms requires scal- ● support for QSFP-DD and OSFP
interconnect solutions for data-center able and power-efficient networking module form factors;
and communications networks) has technologies. Odin platform delivers ● support for 25.6Tbps and
launched its Odin platform, which 50% power consumption/Gbps 51.2Tbps Ethernet Switch config-
scales the firm’s 100Gbps-per-lambda reduction and 75% cost/Gbps urations.
silicon photonics engine from reduction over today’s solutions,” “Intra-data-center traffic is growing
800Gbps to 3.2Tbps in a single chip he adds. “Odin 8 marks the begin- at a rate that outpaces anything
supporting both module and ning of the road to multi-terabit seen outside of the data centers
co-packaged optics solutions. co-packaged optics for compute, and is expected to triple in the next
The Odin platform incorporates a storage and networking solutions.” five years. This growth in traffic is
multi-wavelength quantum dot laser Highlights of Ranovus’ Odin 8 driving global energy consumption,
(QDL), 100Gbps silicon photonics- silicon photonics engine are: for power and cooling, that is simply
based micro-ring resonator modu- ● the lowest power consumption/ not sustainable at the current
lators and photodetectors, 100Gbps Gbps and cost/Gbps solution in trajectory,” says John Martinho,
driver, 100Gbps transimpedance the industry, it is claimed; senior VP R&D. “At Ranovus, we’re
amplifier (TIA) and control integ- ● supports for ML/AI applications dedicated to developing energy-
rated circuits supported by a tier-1 with 0.4ns low latency and a and space-efficient technologies to
packaging ecosystem. protocol agnostic engine; address this critically important
“ML/AI [machine learning/artificial ● support for a transmission challenge - starting with our Odin
intelligence] are the driving forces distance of 10m to 2km in CWDM optical engine platform,” he adds.
behind innovation in our society. They and DWDM applications; “We’re proud to have brought
have created new compute, storage ● eight optical channels of together a tier-1 ecosystem of
and networking paradigms inside 100Gbps/64Gbps/50Gbps PAM4 partners and industry veterans to
and outside the data center,” says or 50Gbps/32Gbps/25Gbps NRZ; make these innovations possible.”
chairman & CEO Hamid Arabzadeh. ● support for DR & FR configur- www.ranovus.com

Broadex sampling 400G QSFP-DD DR4 transceiver


based on silicon photonics
Optoelectronic component maker Broadex’s 400G QSFP-DD DR4 obtained so far, including live inter-
Broadex Technologies Co Ltd of silicon photonics module operability tests, indicate that we
Jiaxing, China (which has R&D and are on the right path to provide
production facilities in Shanghai and data-center customers with a very
Chengdu as well as in Edinburgh, cost-effective product to support
UK) is sampling high-performance their growing bandwidth demand,”
400G QSFP-DD DR4 transceivers, he adds. “400G EML-based solu-
in both 500m and 2km variants, TDECQ (Transmission Dispersion and tions have been challenged to meet
based on a new silicon photonics Eye Closure Quaternary) as low as the volume expectations, which has
(SiPh) platform. 0.6dB. The Broadex modules are become a constraint on the evolu-
The modules use SiPh chips that said to be uniquely suited to enable tion of data-center networks
integrate a number of active and data-center operators to address toward higher-bandwidth intercon-
passive optoelectronic components, increasing bandwidth demand by nects. With the development of
3D packaging technology and 7nm upgrading 100G-centric network to SiPh technology and its inherent
digital signal processing (DSP) chips. 400G. potential in volume manufacturing,
Packaged with proprietary low-loss “Broadex has been working with we expect our SiPh modules will
optical coupling techniques, the industry-leading partners for the become the preferred solution.”
modules feature what is claimed to past year to develop a 400G SiPh Broadex’s 400G SiPh transceivers
be excellent signal quality and transceiver that offers superb prod- are available for sampling now and
channel consistency with very uct performance and improved yield are expected to begin volume pro-
low bit error rate of 10–9 without to enable high-volume production,” duction in second-half 2020.
forward error correction (FEC) and says CEO Dr Wei Zhu. “Results www.broadex-tech.com

www.semiconductor-today.com semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020


54 News: Optical communications

Lumentum expands datacom laser chip portfolio for


hyperscale data center and 5G wireless applications
Lumentum Holdings Inc of San Jose, Lumentum provides high-per- structure from 100G to 400G by
CA, USA, which makes photonics formance externally modulated enabling a wide-temperature range
products for optical networking and lasers (EMLs) for 100G PAM4 appli- and high-performance 2km PAM4
lasers for industrial and consumer cations, enabling data centers to modules.
markets, has introduced three new increase their bit rate and lower ● 50G PAM4 VCSELs for high-
high-speed datacom laser chips, their overall power consumption. speed short-reach optical networks
broadening its portfolio to enable The firm says that its 50G PAM4 Enabled by its 6-inch GaAs wafer
the growth of future hyperscale vertical-cavity surface-emitting foundry and its experience producing
data centers and 5G wireless. lasers (VCSELs) provide high per- high-reliability 3D sensing VCSELs
The expected strong growth of formance with customer value and at high volume, Lumentum’s 50G
data in hyperscale data centers and production capacity. Additionally, (28Gbaud) VCSEL provides what is
5G wireless networks is driving Lumentum’s newly developed 50G claimed to be unprecedented uni-
increasing requirements for volume, PAM4 directly modulated lasers formity at scale. Available to cus-
reliability, cost and speed in datacom (DMLs) enable users to lower their tomers in second-quarter 2020, the
laser chips. Lumentum says that it is overall cost by offering the equiva- VCSEL is suitable for non-hermetic
addressing these requirements by lent performance of an EML for 50G use from 0ºC to 80ºC, delivers very
leveraging decades of experience in and 200G applications in a simpler high yields, and is RoHS10 and
the industry developing advanced and lower-cost DML format. Telcordia GR-468 compliant.
photonic solutions. Details of the new products are: ● 50G PAM4 DMLs for 5G mid-
“To sustain the expected growth in ● 100G PAM4 uncooled EMLs for haul, backhaul and hyperscale
these markets, customers critically next-generation data centers data centers
need an experienced supplier that Lumentum’s PAM4-optimized Lumentum says that its DMLs use a
can provide high performance, high 53Gbaud EMLs enable full C-temp sophisticated cavity design to
quality and scalability,” says senior transceiver designs without using a operate over wide and demanding
VP & general manager, Datacom, thermo-electric (TE) cooler. With temperature ranges. Offering higher
Walter Jankovic. “We leverage our expertise in complex EML technology, bandwidth, the 50G PAM4 (28Gbaud)
high-volume manufacturing capa- the firm has developed an industry- DMLs (available now for sampling)
bility, unmatched materials, and first uncooled, self-hermetic EML. provide the equivalent performance
laser device expertise in InP and Available to sample in third-quarter of an EML but in a smaller and more
GaAs, to meet our customer expec- 2020, this laser chip targets the cost-effective footprint.
tations for chip innovation at scale.” transition in data-center infra- www.lumentum.com

Lumentum launches high-power pump lasers for undersea optical


Lumentum has launched a series of “The new 5200 Series addresses reduces the overall pump laser size
980nm single-mode pump lasers the critical need to maintain and power consumption. This laser
that use chips based on its field- extreme high reliability while series employs both an innovative
proven, proprietary, high-reliability providing higher power pumping,” DFB laser chip (which integrates a
technology but offering operating says Xia Hong, senior director, high-power laser and grating into
power of 250–1600mW, enabling product line management, Optical a single high-reliability laser die)
high-density erbium-doped fiber Communications. “Lumentum and an improved package design.
amplifier (EDFA) designs. addresses these market needs with Available in May, the H11 provides
“Lumentum is supporting the a design lifetime of over 25 years.” a noise-free, narrowband spectrum
industry’s need for ultra-high-reli- The new uncooled laser offers under temperature, drive current,
ability, high-power and scalable increased operating power up to and optical feedback changes.
pump lasers for pluggable EDFAs,” 800mW. Also, through new The new D2 Series dual-chip
says Doug Alteen, senior VP, gen- advances in chip technology and 980nm pump laser provides up to
eral manager, Telecom Transport. high-reliability packaging, the 1.6W total optical output in a low-
The 5200 Series is designed for 5200 Series (available in July) profile 14-pin butterfly package.
demanding undersea optical use boosts overall optical performance. Available in July, it incorporates a
that require ultra-high reliability The H11 Series of uncooled 3-pin next-generation chip and semi-
when pumping EDFAs in submarine low-profile planar pump lasers with autonomous assembly technology,
repeaters and branching units. up to 250mW output power greatly improving power and density.

semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020 www.semiconductor-today.com


News: Optical communications 55

Emcore introduces Optiva Q/V-Band (50–60GHz)


and Next-Gen Extended L-Band fiber-optic links
Emcore Corp of Alhambra, CA, USA or flange-mount configurations. “By leveraging our advanced
— which provides mixed-signal The Optiva platform includes a wide engineering capabilities in satellite
products for the aerospace & range of SNMP-managed transmit- communications, Emcore provides
defense and broadband communi- ters, receivers, optical amplifiers, cutting-edge, high-performance
cations markets — has introduced RF and optical switches, passive fiber-optic transmitter-receiver
its Optiva Q/V-Band fiber-optic devices, video, audio, data and products demanded by our defense
links for applications from 50MHz to Ethernet products. The new Q/V- customers, including new satellite
60GHz, and Optiva Next-Gen Band unamplified microwave trans- applications requiring Q/V-Band
Extended L-Band fiber-optic links mitter and receiver pairs are suited fiber-optic solutions,” Wojciechowski
featuring bandwidth to 6GHz. to support higher-frequency bands adds. “The new Q/V-Band product
The new transmitter and receiver from 50GHz to 60GHz. They utilize will represent a major improvement
modules for the Optiva platform are Emcore’s high-performance, ultra- in cost and performance over
suitable for antenna remoting, low RIN (relative intensity noise) copper-based solutions for these
interfacility links, electronic warfare source laser technology and high extreme higher frequency appli-
(EW) systems, broadband delay optical input power capable photo- cations.”
lines, signal processing systems diodes. The Next-Gen L-Band links At Satellite 2020, Emcore also
and other high-dynamic-range are optimized to provide transpar- debuted its new Outdoor Enclosure
applications. ent IF, extended L-Band, S- and C- that houses its 3U 19” Optiva
Emcore’s latest additions to the Band signal transport out to the rack-mount 16-slot chassis in a
Optiva platform were previewed 6GHz frequency range. fan-cooled, weatherproof, environ-
at the Satellite 2020 event in “Our new Optiva Q/V-Band fiber- mental IP-rated, wall- or pole-
Washington DC (10–12 March). optic links represent significant mounted container. The Optiva
Building on Emcore’s existing breakthroughs in microwave trans- platform also includes a variety of
Optiva RF (radio frequency) and mission technology for aerospace & other rack-mount, compact table-
microwave fiber-optic transport defense and commercial appli- top, or wall-mountable enclosure
platform, the new transmitter and cations,” says David Wojciechowski, options and is completely modular
receiver modules are compatible vice president & general manager and hot-swappable.
with Optiva’s modular, rack-mount of Defense Optoelectronics. www.emcore.com

Emcore launches Model 1997 6GHz uncooled coaxial laser module


for 5G wireless
Emcore has introduced the applications, Emcore’s Model 1997 “Our new 1997 laser expands
Model 1997 1310nm and 1550nm 6GHz uncooled DFB laser module Emcore’s line of optical compo-
CWDM 6GHz uncooled coaxial DFB is designed to enhance bandwidth nents for extended-bandwidth,
(distributed feedback) laser mod- and signal integrity for delivery of high-speed wireless applications,”
ule for next-generation, wireless consistent, reliable wireless signals says Gyo Shinozaki, VP &
linear fiber-optic links. in temperature-controlled environ- general manager of Broadband.
The laser module features ments. The 1997 is packaged in “With 6GHz bandwidth and
extended bandwidth to 6GHz a compact, hermetic TOSA low-noise operation, the 1997
and is optimized for 5G, DAS (transmitter optical sub-assembly) will deliver maximum high-speed
(distributed antenna systems) and with monitor photodiode and signal integrity for emerging
small-cell applications. optical isolator for flexible integ- 5G DAS and small-cell networks,”
With the rollout of 5G wireless ration into DAS and small-cell he adds.
networks well underway, 2019 saw modules. It differs from Emcore’s The Model 1997 and Emcore’s
5G’s first commercial deployments 1998 6GHz cooled DFB laser by complete line of lasers and optical
from Verizon, AT&T, T-Mobile and eliminating the thermoelectric receivers were scheduled to be on
Sprint, and smartphone makers cooler (TEC) and flex circuit display at the Optical Networking
will continue to rollout 5G models connector to provide a lower-cost and Communication Conference
throughout 2020. As an ultra- solution for indoor use. It delivers & Exhibition (OFC 2020) in
linear, coaxial model optimized outstanding optical performance San Diego Convention Center
for 5G and a variety of wireless over a temperature range of (10–12 March).
infrastructure fiber-optic link –40°C to +75°C. www.emcore.com

www.semiconductor-today.com semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020


56 News: Optical communications

Open Eye Consortium makes available single-mode spec to


public, and multi-mode draft spec to participating members
MSA adds six new contributing members
The Open Eye Consortium multi- processing (DSP) architectures. with 34 current members in
source agreement (Open Eye MSA) Live and online Interoperability Promoter and Contributing
has announced the availability of its demonstrations of products based membership classes.
53Gbps single-mode specification on the new specification were due Promoters include: Applied
to the general public, which defines to be showcased during the Optical Optoelectronics Inc., Cambridge
the requirements for 53Gbps-per- Networking and Communication Industries Group (CIG), Juniper
lane analog PAM-4 solutions for Conference & Exhibition (OFC 2020) Networks, Luxshare-ICT, MACOM,
50G SFP, 100G DSFP, 100G SFP-DD, in San Diego, until the Covid-19 Mellanox Technologies, Molex, and
200G QSFP and 400G QSFP-DD Coronavirus outbreak intervened. Semtech Corp.
and OSFP single-mode modules in Further, the Open Eye MSA has Contributors include: Accelink,
data-center applications. announced the draft of its multi- Anritsu, Broadex Technologies,
The Open Eye MSA aims to accel- mode specification available to its Cloud Light Technology, ColorChip,
erate the adoption of PAM-4 optical members for comments, with gen- Fujitsu Optical Components,
interconnects scaling to 50Gbps, eral availability to the public tar- HiLight Semiconductor, InnoLight,
100Gbps, 200Gbps and 400Gbps geted for release in Fall 2020. Inopticals, Keysight Technologies,
by expanding on existing standards Finally, the Open Eye MSA has Marvell, Maxim Integrated,
to enable optical module imple- added six new contributing members: MultiLane, O-Net, Optomind,
mentations using less complex, Broadex Technologies, HiLight Renesas, SAMTEC, Sicoya,
lower-cost, lower-power and opti- Semiconductor, Renesas, Sicoya, Source Photonics, Tektronix,
mized analog clock & data recovery TE Connectivity and Trumpf. TRUMPF and four further
(CDR)-based architectures in MACOM and Semtech Corp initiated members.
addition to existing digital signal the formation of the Open Eye MSA, www.openeye-msa.org

MACOM launches dual-channel 96Gbaud TIA and


quad-channel modulator driver for 600 & 800Gbps
optical networking
MACOM Technology Solutions Inc and with more complex modulation supporting baud rates up to
of Lowell, MA, USA (which makes schemes to support data rates of 96Gbaud and complex modulation
semiconductors, components and 800Gbps and higher on a single formats such as 64QAM. With built-
subassemblies for analog RF, wavelength. in automatic gain control (AGC)
microwave, millimeter-wave and This move to higher data rates and transimpedance gain of up to
photonic applications) has drives the need for modulator driv- 5kΩ, the TIA has 60GHz of band-
announced the availability of its ers and TIAs with ever increasing width and ultra-low noise to sup-
new dual-channel 96GBaud trans- levels of performance. MACOM says port applications from long haul to
impedance amplifier (TIA) and that its new MATA-009806 dual- DCI. The device is available in bare
quad-channel modulator driver for channel TIA and MAOM-009408 die form.
coherent optical networking appli- quad-channel driver offer the high The MAOM-009408 is a high-per-
cations. bandwidth, low noise and low formance quad-channel modulator
Increased demand for data capac- power consumption to enable driver also supporting 96Gbaud
ity in metro and data-center inter- integrated coherent receivers symbol rates. It has up to 19dB of
connect (DCI) applications is being (ICRs), high-bandwidth coherent gain with 15dB of gain range and a
driven by trends like the Internet of driver modulators (HB-CDMs) and maximum output voltage of 3Vpp
Things (IoT), autonomous vehicles integrated coherent transmit- differential. The device is designed
(AVs), virtual reality (VR) and receive optical sub-assemblies to be directly DC coupled to an
artificial intelligence (AI). As market (ICTROSAs) operating at up to optical modulator for maximum
demand moves to higher data rates 800Gbps in telecom and DCI appli- bandwidth, minimum size and
for lower overall cost-per-bit, cations. minimum power dissipation. The
coherent optical systems are The MATA-009806 is a dual-chan- device is available in bare die form.
operating at higher symbol rates nel linear TIA for coherent receivers www.macom.com/opto

semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020 www.semiconductor-today.com


News: Optical communications 57

MACOM launches 25G APD photodiode and 25G


Fabry–Perot laser for next-gen 5G & data-center networks
MACOM Technology Solutions Inc coupled to a low-noise amplifier with a wide operating temperature
of Lowell, MA, USA (which makes (LNA) for 25G NRZ and 50G PAM-4 range of –40ºC to +95ºC. The
semiconductors, components and transmission applications. device leverages MACOM’s
subassemblies for analog RF, The device performance enables patented and proven etched facet
microwave, millimeter-wave and the design of optical sub-assem- technology (EFT) enabling scalabil-
photonic applications) has blies and transceivers suitable for ity to high-volume manufacturing.
announced the availability of its 5G wireless mid-haul and long-haul The 25G FP laser is suited to
25G avalanche photodiode (APD) networks, and extended-reach enabling 5G LTE front-haul
and 25G Fabry–Perot (FP) laser (ER4) applications for 100Gbps, short-reach applications as well as
optical components for 5G wireless 200Gbps and 400Gbps data-center low-cost 100Gpbs parallel single-
and data-center applications. applications. mode fiber (PSM4) application for
The APD28A is a back-illuminated MACOM will offer the 25G APD as data centers.
25G APD covering both O- and C- a bare die and in chip-on-carrier MACOM will offer the 25G
bands with a usable wavelength format to provide maximum design Fabry–Perot laser in a TO-Can
range of 1250–1650nm. The flexibility to customers. package to complement customer
photodiode features greater than The MAOD-131F25 is a directly requirements and accelerate time
20GHz (3dB) bandwidth, with very modulated high-speed 25Gbps to market.
high sensitivity of –22dBm when 1310nm Fabry–Perot laser diode www.macom.com/opto

MACOM extends TIA portfolio spanning 100–800G


MACOM has announced the avail- extended TIA family, comple- The MATA-38134 is a quad
ability of two new transimpedance mented by a comprehensive port- 26/53GBaud linear PAM4 TIA with
amplifiers (TIAs) optimized for use folio of seamlessly interoperable automatic gain control (AGC) and
in optical networking applications MACOM components, is helping integrated AGC loop. The TIA
ranging from 100Gbps DR1 to customers accelerate this transi- consumes very little power and is
800Gbps DR8 and FR8, available tion. primarily targeted at single-mode
now in flip-chip and wire-bonding The MATA-05817 delivers low- fiber applications. The TIA has
packaging options for fast, flexible noise performance of less than 500_m anode-to-anode spacing,
deployment in QSFP, QSFP-DD and 2μA RMS typical and supports which allows customers to place
OSFP optical modules. bandwidth up to 35GHz. The TIA two devices within the QSFP-DD
The rapid evolution to single-lane supports high-throughput optical form factor, enabling 800Gbps
100G and multiple-lane 200G, data links in a very low power pro- applications.
400G and 800G connectivity is file, optimal for use in high-density Both devices include RSSI for
increasing demand for high-per- optical data-center interconnects. photo-alignment and power moni-
formance, power-efficient optical The device is intended for 50G, toring and I2C control of band-
components needed to maximize 100G, 200G and 400G receivers width, output amplitude, peaking,
bandwidth density in the Cloud using multi-level modulation such LOS, gain and other parameters.
data center. MACOM says that its as PAM4. www.macom.com/applications/

MACOM live streams product demos in lieu of OFC


In lieu of the Optical Networking MACOM utilized video conferencing Live streaming video demonstra-
and Communication Conference & to showcase its newest optoelectronic tions included:
Exhibition (OFC 2020) in San Diego and photonic products and compo- ● 100Gbps DR/FR PAM4 Product
(8–12 March) due to increased nents. Available to customers on Demonstration.
concerns over the spread of the 8–12 March during regular show ● Secure 50Gbps PAM4 Mid Haul
COVID-19 virus, MACOM conducted hours, demonstrations were hosted for 5G Wireless.
product demonstrations directly by MACOM’s optical and high-speed ● 100Gbps PAM4 Customer Inter-
from its US design centers to its data design and applications engi- operability.
worldwide customers in private, neering teams. Customers could ● 200/400Gbps PAM4 Open Eye
one-on-one interactive demonstra- ask questions and interact with MSA Solutions.
tions by video conference. MACOM staff during the demo. www.macom.com/opto

www.semiconductor-today.com semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020


58 News: Optical communications

NeoPhotonics unveils complete L-band coherent optics


solution to double optical fiber capacity
NeoPhotonics Corp of San Jose, CA, These components also support form factor of the high-bandwidth
USA (a vertically integrated 400G over metro distances of coherent receiver is designed to be
designer and manufacturer of 400–600km using 64GBaud and compliant with the OIF Implemen-
silicon photonics and hybrid 16 QAM or 200G over long-haul tation Agreement for Micro Integ-
photonic integrated circuit-based distances of greater than 1000km rated Intradyne Coherent Receivers;
lasers, modules and subsystems for using 64GBaud and QPSK (quad IA # OIF-DPC-MRX-02.0.
high-speed communications) has phase-shift keying). For applications that require more
announced an L-band suite of NeoPhotonics’ L-band external capacity than provided by the stan-
coherent optical components, cavity laser (ECL) micro-ITLA incor- dard C-band but do not require the
including its 64GBaud L-band high- porates the same laser architecture full L-band, each of these compo-
bandwidth coherent driver modula- as the firm’s C-band laser for a nents is available in a C++ version,
tor (HB-CDM), 64GBaud L-band pure optical signal with an ultra- which supports tuning across the
intradyne coherent receiver (ICR) narrow linewidth and very low full Super C-band covering 6.0THz
and ultra-narrow-linewidth L-band phase noise. In coherent systems, of spectrum or up to 50% more
tunable laser micro-ITLA (integ- any error in the phase of the signal than standard systems. These C++
rated tunable laser assembly). and reference lasers, due to the components can support 80 channels
Together with NeoPhotonics stan- laser’s linewidth, can cause data at 75GHz channel spacing, which
dard C-band coherent components, errors, making narrow linewidth effectively increases the capacity of
the new products enable customers critical in systems using higher- an optical fiber by as much as 50%
to double the capacity of optical order modulation. over standard C-band-only systems
fiber links. NeoPhotonics’ 64Gbaud L-band at comparable distances.
The C-band is the primary band HB-CDM co-packages an indium “We are pleased to add L-band
for telecoms, with wavelengths phosphide -based Mach–Zehnder versions to our existing suite of
centered around 1550nm. (MZ) quadrature modulator chip coherent components, thus provid-
The L-band uses wavelengths with a linear, quad-channel, ing customers with a complete
centered around 1590nm and is differential 64GBaud driver. Both solution to their fiber capacity
primarily used to complement the the C-band and L-band versions of requirements, whether C-band,
C-band to increase data capacity, the HB-CDM are designed to be C++ band or L-band,” says chair-
especially in long-haul networks. compliant with the Optical Internet- man & CEO Tim Jenks. “Our ultra-
By adding channels in the L-band, working Forum (OIF) Implementa- pure tunable laser design and our
operators can double the capacity tion Agreement OIF-HB-CDM-01.0 high-performance coherent modu-
of an optical fiber. ‘High Bandwidth Coherent Driver lator and receiver designs are very
NeoPhotonics’ 64Gbaud coherent Modulator’. flexible and excel in different spec-
components and tunable lasers NeoPhotonics’ 64Gbaud L-band tral regimes to provide the highest
enable single-channel 600G data micro-ICR incorporates an integrated speed over distance performance,”
transmission over data-center co-mixer chip and four balanced he concludes.
interconnect (DCI) distances of photodiodes with four differential www.oiforum.com
about 80km using 64 QAM linear amplifiers to provide four www.neophotonics.com/
(quadrature amplitude modulation). output channels at 64GBaud. The optical-amplifiers-64gbaud-16-64qam

REGISTER
for Semiconductor Today
free at
www.semiconductor-today.com

semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020 www.semiconductor-today.com


News: Optical communications 59

NeoPhotonics announces general availability and volume


production of high-bandwidth coherent driver modulator
for 64GBaud cloud & data-center interconnect applications
NeoPhotonics Corp of San Jose, (25mm x 12mm x 5mm) package micro-ITLA (integrated tunable
CA, USA (a vertically integrated that co-packages a linear, quad- laser assembly) and 64Gbaud C++
designer and manufacturer of channel, differential 64GBaud ICR receiver are combined in
silicon photonics and hybrid driver with an indium phosphide NeoPhotonics’ C++ CFP2-DCO
photonic integrated circuit-based (InP)-based Mach–Zehnder (MZ) transceiver, which is claimed to be
lasers, modules and subsystems for quadrature modulator chip. It pro- the first pluggable transceiver
high-speed communications) has vides efficient coherent multi-level module able to deliver as much as
announced general availability and modulation formats, such as DP- 34 Terabits of capacity per fiber.
volume production of its 64GBaud QPSK, DP-16QAM and DP-64QAM, This module can support 85 chan-
high-bandwidth coherent driver to support coherent transmission nels of 64Gbaud data at 75GHz
modulator (HB-CDM). up to 64GBaud. The HB-CDM is channel spacing and effectively in
The CDM brings together compliant with the OIF’s Imple- raises the capacity of an optical fiber
NeoPhotonics’ 64GBaud intradyne mentation Agreement OIF-HB- by as much as 50% over standard
coherent receiver (ICR) and ultra- CDM-01.0 ‘High Bandwidth systems at comparable distances.
narrow-linewidth tunable laser to Coherent Driver Modulator’, and “The HB-CDM is based on our
enable customers to implement assures users a 3dB EO bandwidth indium phosphide photonic integ-
single-channel 600G data transmis- of greater than 40GHz. The ration platform and delivers the
sion over data-center interconnect compact size fits in a CFP2-DCO high performance for demanding
(DCI) distances of about 80km pluggable module. applications,” says chairman & CEO
using 64 QAM. These components The HB-CDM is also available in a Tim Jenks. “Combined with our
also support 400G over metro ‘C++’ CDM modulator version, silicon photonics integration plat-
distances of 400–600km using which supports tuning across the form we can provide customers
64GBaud and 16 QAM or 200G over full ‘Super C-band’ covering 6.4THz with the optimized solutions to meet
long-haul distances of greater than of spectrum or up to 50% more their network requirements for the
1000km using 64GBaud and QPSK. than standard systems. The C++ highest speeds and at volume scale,”
NeoPhotonics’ HB-CDM is imple- CDM modulator, ultra-narrow- he concludes.
mented in a small-form-factor linewidth tunable C++ LASER www.neophotonics.com

REGISTER
for Semiconductor Today
free at
www.semiconductor-today.com

www.semiconductor-today.com semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020


60 News: Optical communications

Acacia sampling 400G pluggable transceiver modules


Acacia Communications Inc of May- both QSFP-DD and OSFP form fac- chassis. This architectural change
nard, MA, USA (which develops and tors, our DCI customers are able to helps network operators support
manufactures high-speed coherent choose their preferred solution to their growing bandwidth demands
optical interconnect products) is access our technology,” he adds. in a more cost-efficient manner.
sampling multiple variants in its “Our new 400G pluggable modules “We’re excited to be successfully
family of 400G pluggable optical are based on our Greylock 7nm DSP, passing traffic in the lab with the
transceiver modules including 400ZR, which is our third generation of Acacia 400G-OSFP-ZR module in
OpenZR+ and Open ROADM MSA. coherent DSP supporting low-power our 7000 Series switches and
The firm’s 400G pluggable module pluggable modules,” says 400G routers,” notes Hacene Chaouch,
family features an expansive list of Pluggable product line manager distinguished engineer at Arista
interoperability solutions in QSFP-DD, Torben Neilsen. “Our 3D siliconization Networks. “400ZR is critical to
OSFP and CFP2-DCO pluggable approach, which leverages high- meeting customer demand for
form factors for cloud data-center volume electronics manufacturing growing data-center interconnect
interconnects (DCIs) and service processes, benefits from the matu- bandwidth and we believe the ther-
provider networks. These pluggable rity of our silicon photonics technol- mal and optical performance of
solutions were designed to enable ogy and our vertical integration.” Acacia’s coherent platform in the
network operators to address Acacia’s new 400G pluggable mod- OSFP form factor will provide network
increasing bandwidth demand ule family builds on the technology operators with a very reliable and
through a simplified network archi- that Acacia has demonstrated in power efficient solution,” he adds.
tecture, reducing both capital and the coherent module market, hav- “Interoperable 400G solutions
operational expenditures. ing shipped the following products have the potential to transform
“Acacia’s vision has always been since 2014: >200,000 coherent cloud DCI and traditional operator
focused on driving down the level silicon photonic integrated circuits; architectures and create new appli-
of power consumption, size and >400,000 coherent DSP ports; and cations for coherent optics at the
cost of coherent interconnects and >125,000 pluggable DCO modules. edge of the network,” comments
we are proud of the significant 400G pluggable modules represent Andrew Schmitt, directing analyst
advancements we have made in a key architectural change in high- at Cignal AI.
low-power digital signal processor bandwidth data-center interconnects Acacia’s 400G pluggable module
(DSP) and 3D siliconization tech- because they can be plugged directly family is sampling now and is
nologies,” says Mehrdad Givehchi, into switches and routers offering expected to begin volume produc-
a founder of Acacia and VP of Hard- the same density for both coherent tion in second-half 2020.
ware and Software. “By supporting DWDM and client optics in the same www.acacia-inc.com

C-CMIS IA completed for management of DCO modules


The Optical Internetworking Forum provides register definition for to-noise ratio (eSNR). The C-CMIS
(OIF) has announced completion of coherent modules in pages and IA provides specifications to moni-
the Coherent Common Management parameters that were previously tor the standard parameters in a
Interface Specification (C-CMIS) reserved. Users that have previously normative manner while taking
Implementation Agreement (IA), implemented software to manage advantage of the flexibility of the
which is an extension to the CMIS optical modules using CMIS will be CMIS specification to monitor any
(QSFP-DD/OSFP/COBO) manage- able to quickly add support for these additional proprietary parameters.
ment spec, specifically targeting coherent pages and parameters. “The current IA is focused on sup-
digital coherent optics (DCO) modules. This release of the C-CMIS IA is porting the OIF 400ZR IA, which
“The C-CMIS IA is an important targeted at the 400ZR application. supports a single data path with
part of the developing 400ZR The technology and complexity of eight-lane host electrical interface
ecosystem,” says Ian Betty, a board coherent modules requires additional for a 400GBASE-R PCS signal and a
member of both Ciena and OIF. monitoring parameters for use in single-lane 400G coherent media
“It defines additional management field applications. This additional interface (with a new signal format
registers, and monitors, together monitoring is primarily focused on called 400ZR),” says Betty. “We
with new functionality, mechanisms forward error correction (FEC) expect future versions to include
or behaviors, as needed.” monitoring and optical/analog more complex metro modules and
Augmenting the existing CMIS spec monitoring including items like may even extend these manage-
(which focused on addressing direct- chromatic dispersion, differential ment features to other form factors.”
detect client optics), the C-CMIS IA group delay and electrical signal- www.oiforum.com

semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020 www.semiconductor-today.com


News: Photovoltaics 61

First Solar manufacturing operations continuing


at Ohio, Malaysia and Vietnam facilities
In light of recent developments ‘Stay at Home’ order, exempting, operations in the country.
related to the COVID-19 (Novel among other things, essential First Solar’s manufacturing opera-
Coronavirus) pandemic, First Solar businesses and operations from its tions at its Ho Chi Minh City, Vietnam
Inc of Tempe, AZ, USA — which scope. At this time, it is First Solar’s facilities are not impacted by gov-
makes thin-film photovoltaic mod- understanding that its manufacturing ernment-mandated restrictions on
ules based on cadmium telluride facilities in these locations are per- movement at this time. The firm is
(CdTe) as well as providing engi- mitted to operate under the order. continuing to monitor the situation
neering, procurement & construction Similarly, the government of at all of its facility locations.
(EPC) services — has provided an Malaysia, where the Kulim manu- First Solar says that it has already
update regarding its manufacturing facturing plants are located, has implemented a wide range of
operations in Wood County in Ohio, implemented a wide-ranging measures intended to inhibit the
Kulim in Malaysia and Ho Chi Minh suspension of public activities. spread of the COVID-19 virus at its
City in Vietnam. Since the implementation of this global manufacturing, administra-
On 22 March, the State of Ohio, suspension and at this time, tive and other sites and facilities,
where First Solar’s Perrysburg and First Solar’s understanding is that including those in the USA,
Lake Township manufacturing these measures do not impact the Malaysia and Vietnam.
plants are located, issued a continuity of its manufacturing www.firstsolar.com

Four California projects sold by First Solar go to Longroad Energy


First Solar says that Longroad pleted by the end of fourth-quarter thin-film technology, and has a
Energy is the acquirer of four solar 2020. In addition to creating about carbon footprint that is up to six
projects with a combined capacity 500 jobs during contruction, Little times lower than crystalline silicon
of 160MWAC. Longroad Energy is a Bear Solar is also expected to ben- PV panels manufactured using
US-based renewable energy efit local businesses. conventional, energy-intensive
developer, owner and operator, “MCE’s customers have made the production methods, it is reck-
with a multi-gigawatt portfolio of important choice to consume car- oned.
wind and solar projects across the bon-free electricity, and it is only “CCAs are playing a growing
USA. First Solar had previously fitting that these projects will be role in the democratization and
disclosed the transaction without powered by lowest carbon solar decarbonization of California’s
identifying the acquirer. modules available today,” says electricity,” comments First Solar’s
Backed by a long-term power Longroad’s chief operating officer chief commercial officer Georges
purchase agreement (PPA) with Michael Alvarez. Antoun. “With demand being
community choice aggregator The projects will be powered by driven primarily by environmen-
(CCA) Marin Clean Energy (MCE), First Solar’s Series 6 module tech- tally conscious consumers, we see
the Little Bear Solar projects in nology. Designed and developed at a tremendous opportunity to sup-
Fresno County, California, range in the firm’s R&D centers in California port the growth of this segment
capacity from 20MWAC to 50MWAC and Ohio, and produced in just with our portfolio of responsibly
and are designed to have a low 3.5 hours using fully integrated developed projects, powered by
impact on local land and water manufacturing processes, each the world’s lowest-carbon solar
resources, and the environment. large-format Series 6 module module technology.”
They are expected to be com- leverages First Solar’s proprietary www.longroadenergy.com

REGISTER
for Semiconductor Today free at
www.semiconductor-today.com

www.semiconductor-today.com semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020


62 Technology focus: Photodiodes

Monolithic indium arsenide


quantum dot avalanche
photodiode on silicon
Researchers claim the first device with low dark current and high gain.

R
esearchers in the USA, China and Hong Kong reduction of threading dislocations through aspect ratio
have developed monolithic indium arsenide trapping (ART). Further growth used molecular beam
(InAs) quantum dot (QD) avalanche photodiode epitaxy (MBE) to produce a dot-in-well (DWELL)
(APD) structures on (001) silicon (Si) with low dark structure. The QDs consisted of a 5-layer stack. The
current and high gain [Baile Chen et al, ACS Photonics, final dot density was 6x1010/cm2. The barrier matrix
published online 8 January 2020]. for the dots and the well barriers consisted of
The team from University of California Santa Barbara aluminium gallium arsenide (AlGaAs).
(UCSB) in the USA, ShanghaiTech University in China, APD fabrication created waveguide-shaped devices
Hong Kong University of Science and Technology, and (Figure 2). The mesas were etched with inductively
Chinese University of Hong Kong, claim that the device coupled plasma. Sidewall passivation consisted of
is the first monolithic InAs QD APD on silicon with low 12nm atomic layer deposition (ALD) aluminium oxide
dark current, which is suitable for optical fiber O-band (Al2O3) and 1µm silicon dioxide (SiO2) to suppress
(1260–1360nm) communications. They comment: current leakage. The metal contacts were palladium/
“These QD-based APDs enjoy the benefit of sharing the titanium/palladium/gold and palladium/germanium/
same epitaxial layers and processing flow as QD lasers, palladium/gold. The structure included standard
which could potentially facilitate the integration with 150µm-pitch ground–signal–ground (GSG) pads.
laser sources on a silicon platform.” The devices were cleaved to produce optical entry
“When the high gain and low dark current performance facets without anti-reflective coatings.
up to 323K (50°C) are considered, these APDs hold The room-temperature (300K) dark current for a
great potential for applications in energy-efficient 3µmx50µm device was 0.1nA (6.6x10–5A/cm2) under
interconnects
within super-
computers
and data
centers,” the
team writes.
The sub-
strate was
gallium
arsenide
(GaAs)-on-V-
grooved-Si
(GoVS)
produced
using metal-
organic
chemical
vapor
deposition
(MOCVD) —
see Figure 1. Figure 1. Schematic of InAs QD APD
The grooves grown on GoVS substrate.
in the (001) Si
enabled the

semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020 www.semiconductor-today.com


Technology focus: Photodiodes 63

–5V bias. The


researchers attribute
the low value to high
crystal quality and
good surface passiva-
tion of the mesa side-
walls. With the APD
near breakdown
(99%), the dark cur-
rent was 1.3nA at -
15.9V bias. An
increase in breakdown
voltage with tempera-
ture indicated to the
team that avalanche
rather than tunneling
was the main mecha-
nism. The parasitic Figure 2. (a) Schematic of fabricated waveguide photodetector. (b) Top-view and
capacitance of the (c) cross-sectional scanning electron microscope views.
device was 517fF at
room temperature. attribute the reduction to avalanche build-up time at
The peak avalanche gain for 1300nm-wavelength the higher reverse bias. The resistance–capacitance
light was 198 at 293K (20°C), relative to the (RC)-limited bandwidth was estimated at 5.16GHz.
‘unity gain’ performance at –5V bias. The peak reverse The researchers plan to look at improving the RC per-
bias was –15.97V. The dark current at that bias was formance using semi-insulating silicon substrates or
33nA. The peak gain reduced to 73 at 323K (50°C). thick benzocyclobutene (BCB)/SU8 layers to reduce
The researchers note that the 33nA dark current parasitic capacitance effects.
value is “more than two orders of magnitude lower The performance with pseudorandom binary
than that of Si/Ge APDs, InGaAs/InAlAs APDs on Si, sequence modulation at –3dBm power produced
and the recent InAs QD APDs heterogeneously integ- ‘open-eye’ diagrams up to 8Gbit/s data rates. With
rated on Si”. 2.5Gbit/s sequences the bit-error rate (BER) was
Noise was a problem with the device – the excess significantly reduced at –15.9V bias (two orders of
noise figure reached more than 60 with the gain at 8. magnitude relative to –5V, Figure 3). ■
The researchers comment: “The excess noise is high https://dx.doi.org/10.1021/acsphotonics.9b01709
due to the mixed injection in the APD device, and Author: Mike Cooke
further optimization for minimizing the noise
performance is necessary for future work.”
The team also suggests that one way to overcome
this would be to use separated absorption, charge
and multiplication avalanche photodiode (SACM-APD)
structures, aiming at low noise and high speed.
The response to 1310nm light input (–20dBm
power) was 0.234A/W with –5V bias. The
response cut off at around 1360nm, corresponding
to the approximate bandgap of the InAs QDs.
With the reverse bias at –15.9V, the response
was 4.8A/W at 1310nm, representing an
avalanche gain of about 20. The cut-off wave-
length red-shifted at the increased reverse bias
due to quantum-confined Stark effects — “which
shifts the electron states to lower energies and
the hole states to higher energies, respectively,
in the QD layers,” as the team puts it.
The response to modulated signals showed a
3dB bandwidth of 2.26GHz with the bias at –6V,
reducing to 2.06GHz at –15.9V. The researchers Figure 3. BER versus input optical power.

www.semiconductor-today.com semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020


64 Technology focus: Photodiodes

III-V photodetectors
integrated with SOI transistors
Researchers see potential for high-resolution multi-color imagers in self-driving
automobiles, time-of-flight sensing, and industrial surveillance.

R
esearchers based in Korea have monolithically creating more compact 3D devices (Figure 1).
integrated indium gallium arsenide (InGaAs) The SOI section used a 365nm silicon dioxide (SiO2)
photodiodes (PD) with silicon-on-insulator (SOI) insulation layer. The MOSFET gate stack was built
metal-oxide-semiconductor field-effect transistors from hafnium aluminium oxide (HfAlOx) insulator and
(MOSFETs) [Dae-Myeong Geum et al, IEEE Electron titanium nitride (TiN) electrodes. The structure was
Device Letters, vol41, issue3, p433, March 2020]. covered with sputtered SiO2 interlayer dielectric.
The researchers from Korea Advanced Institute of The surface was planarized using chemical mechanical
Science and Technology (KAIST), Korea Institute of polishing (CMP), before deposition of 40nm Al2O3 as
Science and Technology (KIST) and Hanyang University bonding material. The InGaAs photodiode epitaxial
see the advantage from their monolithic three- material was also prepared for bonding with 40nm
dimensional (M3D) process as arising from the maturity Al2O3 deposition. The Al2O3 surfaces were activated
of the InGaAs material process knowledge, compared with oxygen plasma treatment to enable bonding.
with more recent laboratory work on devices using The indium phosphide (InP) substrate was removed
carbon nanotubes, transition-metal dichalcogenides, from the InGaAs photodiode material before formation
and so on. of the photodiode electrodes and mesas/pixels. The top
In particular, “III–V materials can respond to the very p+-InP contact was platinum/titanium/platinum/gold
long-wavelength region (VLWIR) not only visible and (Pt/Ti/Pt/Au). The bottom n+-InGaAs contact consisted
[short-wavelength] SWIR using [antimonide] Sb-based of palladium/germanium/gold. Finally, connection was
materials and type-II band alignment structures,” the made between the photodiode cathode (n+-InGaAs)
team contends. They see their work as an important and the SOI MOSFET source terminal.
step for future high-resolution multi-color imagers. The reported process was carried out at room tem-
Such devices could find application in self-driving auto- perature, although the team reports that previous
mobiles, time-of-flight sensing, and industrial surveil- similar processing at 350°C resulted in “no degradation”
lance. The photodiode/MOSFET combination should in performance. In the present case, it was found
allow implementation of read-out integrated circuits that the performance of a 9µm-gate-length MOSFET
(ROICs) on top of image signal processor (ISP) layers, was little changed by the bonding and photodiode

Figure 1. (a) M3D integrated high-resolution multi-color imager system concept. (b) Schematic of fabricated
InGaAs photodiodes on SOI MOSFETs.

semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020 www.semiconductor-today.com


Technology focus: Photodiodes 65

Figure 2. (a) Unit cell architectures of readout circuits and measuring devices as shown in inset.
(b) Electrical response for DI operation (c) Electrical response for SFD operation.

fabrication processes, in terms of drain current and “quite good”, considering that there was no anti-reflection
subthreshold swing. coating. The team says that the performance was
The InGaAs photodiode demonstrated a comparable to conventional InGaAs photodiodes.
forward/reverse ±1.5V bias dark current ratio of 104. The MOSFET–photodiode combinations were wired
The device showed a clear response under reverse bias together into typical ROIC configurations (Figure 2):
when illuminated by 10.4µW 1550nm laser light. direct injection (DI) and source follower per detector
Shorter wavelengths in the range 785–980nm also (SFD). The team explains: “While photo-generated
showed a reasonable linear response. The response for carriers are directly injected via the source on the out-
1500nm was stronger (0.7A/W) due to it being close put stage in the DI method, SFD uses the integration
to the band edge of the InGaAs material. Fitting with of photo-generated carriers in a capacitor during a
power-law current–power relations also suggested specific period.”
linear behavior with near-unity exponents. The fitted With the drain at 0.1V bias, the gate potential was
exponent of the illumination power was 0.97 for varied between 0.425V, subthreshold, and 1V under
1550nm light — the deviation from unity, the 1550nm illumination (Figure 2). Negative gate poten-
researchers suggest, could be explained by the tials between –0.5V and –1.5V, related to SFD oper-
presence of defects. ation, had little effect on the device response. ■
The external quantum efficiency (EQE) was 60% https://doi.org/10.1109/LED.2020.2966986
under 1550nm illumination. The value is declared to be Author: Mike Cooke

www.semiconductor-today.com semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020


66 Market focus: Optoelectronics

3D imaging and sensing


market growing at 20%
CAGR to $15bn in 2025
Rear 3D sensing in mobiles is to become the leading application, reckons
Yole Développement.

T
he global 3D imaging and sensing market is a lot thanks to the back-side illumination (BSI) tech-
expanding at a compound annual growth rate nique,” he notes. “They have also gained a cost advan-
(CAGR) of 20% from $5bn in 2019 to $15bn in tage within a maturing ecosystem. This is the main
2025, forecasts market research and strategy consult- reason why ToF has won the favor of Android phone
ing firm Yole Développement in its annual technology & makers.”
market analysis ‘3D Imaging and Sensing’. Without doubt, the main trend in 3D sensing is the
With the introduction of the iPhone X in September switch in adoption from the front to the rear of the
2017, Apple set the standard for technology and phone and mass adoption of the ToF camera. According
application for 3D sensing in the consumer space. to Yole’s report, rear attachment will surpass front
Two years later, Android phone makers have taken a attachment, with the penetration rate reaching about
different approach, using time-of-flight (ToF) cameras 42% in 2025.
(instead of structured light) and are placing them on 3D rear sensing in mobiles are expected to diversify
the rear of the phone. in application. First used for photography, to enhance
“Compared to structured light, ToF modules only ‘bokeh’ (blur effect) and zoom capabilities, it will
needs a vertical-cavity surface-emitting laser (VCSEL) expand into augmented reality (AR) and gaming.
and a diffuser on the emitter, which is less complex,” Beyond smartphones, ToF camera modules have a
says Richard Liu, technology & market analyst in the broad application market ahead of them, including
Photonics, Sensing & Display division at Yole and based intelligent driving, robots, smart homes, smart TVs,
in Shenzhen, China. “ToF sensors have now improved smart security and virtual reality (VR)/AR. Currently,

Evolution in front and rear 3D sensing


camera modules in smartphones —
technology roadmap.

semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020 www.semiconductor-today.com


Market focus: Optoelectronics 67

3D sensing and imaging,


2019–2025 market forecast
(in US$m)

the application of ToF sensing technology in these In 2019, Finisar was acquired by II–VI, contributing
fields is still in its infancy. to the consolidation of industrial business. During this
The significance of the 3D sensing market means that period, there were several other big mergers, such as
the transition from imaging to sensing is happening Philips Photonics being acquired by Trumpf and ams
now. Artificial intelligence (AI)-powered devices and swallowing Osram. Trumpf and ams are both actively
robotics are gaining a better understanding of their moving into the Android camp’s 3D camera supply
surroundings, and developing a new level of interaction chain, providing VCSELs to Samsung and Huawei
with humans. Stereo cameras for ADAS (Advanced respectively.
Driver Assistance Systems) represent a highly antici- In China, another player is entering the 3D sensing
pated application of 3D imaging and sensing technology. ecosystem: The VCSEL output beam of the flood emitter
“The most important component in this application, for ToF requires no coding and is therefore easier to
light detection & ranging (LiDAR), is now focused on by produce. This has helped the Chinese supplier Vertilite
a large number of suppliers,” notes Liu. “There is a to join the market. Already, in 2019, the company won
wide range of LiDAR technologies to choose from, orders from Huawei for 3D sensing. This move was also
making the field a very competitive one.” driven by the policy of China to cultivate local supply
In addition to automotive ADAS and industrial AGVs chains in the midst of the US–China trade conflict.
(automatic guided vehicles) in the logistics industry, ToF arrays are key components for mobile rear
face recognition and face payments in commercial 3D sensing. ToF camera technology was first applied to
sectors have also been very successful. As such, the Phab2 Pro smart phone in 2016, which used pmd
3D sensing technology is moving towards ubiquity. and Infineon’s TOF array. A year before that, Sony
Technology providers of global shutter image sensors, bought SoftKinetic, a Belgian gesture-recognition com-
VCSELs, injection-molded and glass optics, diffractive pany with its well-known DepthSense ToF sensing sys-
optical elements (DOEs), and semiconductor packaging tem. This move brought Sony from a position of zero
are all benefiting. market share in 3D sensing receiver chips to 45% by
So what is the impact of ToF’s adoption on the supply the time that ToF camera modules took off in 2019.
chain? “The mobile 3D sensing supply chain is changing With its strong technology and supply capabilities,
rapidly,” notes Pierre Cambou, principal analyst, Sony is expected to continue to maintain its leadership
Imaging, at Yole. “As structured light technology position in ToF. But, as there has always been competi-
was introduced in iPhones in 2017, companies like tion in this area of CMOS image sensor (CIS) chip
Lumentum, ams and ST Microelectronics won this manufacturing, competition will increase. Together
first round. Later, Princeton Optronics (ams) and with partner Infineon Technologies, pmd recently
Finisar were prepared to gain VCSEL market access, announced a matching chip. Yole’s analysts expect
so the market did quickly become more competitive,” CIS giant Samsung and STMicroelectronics to bring to
he adds. market their own indirect ToF array sensors in 2020.

www.semiconductor-today.com semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020


68 Market focus: Optoelectronics

2015–2025 penetration scenario of 3D cameras in smartphones (in %)

2015–2025 penetration scenario of 3D cameras in smartphones (in %).

Samsung already adopted ToF technology notably in mergers & acquisitions (M&A) as automotive LiDAR
its Galaxy Note 10+. It has been deeply analyzed by applications may come into play. There are a large
System Plus Consulting, sister firm of Yole in the number of highly competitive emerging companies.
reverse engineering & costing report, Samsung Galaxy There are also a few Chinese startups, such as Hesai
Note 10+ 3D Time of Flight Depth Sensing Camera Technology, RoboSense, and LeiShen Intelligence.
Module. The underlying semiconductor products are the same:
Generally speaking, the competition remains very CIS chips, VCSEL, MEMS, wafer-level optical elements.
intense among a small group of CIS players. In the ■
medium-term Yole expects more opportunities for www.i-micronews.com/products/3d-imaging-sensing-2020

3D sensing and imaging: main players in supply chain

semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020 www.semiconductor-today.com


www.semiconductor-today.com
Join our LinkedIn group: Semiconductor Today

Follow us on Twitter: Semiconductor_T

Choose Semiconductor Today for . . .

semiconductorTODAY
ISSN 1752-2935 (online)

C O M P O U N D S &
Vol.7 • Issue 2 • March/April 2012
A D VA N C E D S I L I C O N
www.semiconductor-today.com
MAGAZINE
Efficiency droop in
nitride & phosphide LEDs Accurate and timely coverage of the compound semiconductor
First single-crystal and advanced silicon industries
gallium oxide FET

Targeted 82,000+ international circulation

Published 10 times a year and delivered by e-mail and RSS feeds

Graphensic spun off • Emcore sells VCSEL range to Sumitomo


Masimo buys Spire Semiconductor• Oclaro and Opnext merge

WEB SITE

Average of over 26,000 unique visitors to the site each month

Daily news updates and regular feature articles

Google-listed news source

E-BRIEF

Weekly round-up of key business and technical news

E-mail delivery to entire circulation

Banner and text marketing opportunities available


70 Technology focus: Optoelectronic integration

Indium phosphide buffers on


silicon for III–V laser diodes
and data photonics
Researchers reduce the surface defect density to 4.5x107/cm2.

B
ei Shi and Jonathan Klamkin of University of grown in a three-step process: 435°C low-temperature
California Santa Barbara (UCSB) in the USA (LT) nucleation, 45nm at 545°C medium temperature
have been developing techniques to grow (MT), and 950nm at 600–630°C high temperature
indium phosphide (InP) buffer layers on top of on-axis (HT).
(001) silicon (Si) with a view to silicon photonics (SiPh) Atomic force microscopy (AFM) and electron-channeling
and, in particular, III–V laser diodes [J. Appl. Phys., contrast imaging (ECCI) suggested that there was a
vol127, p033102, 2020]. trade-off between surface roughness and defect density,
Reducing defect levels in the III–V semiconductor dependent on the thickness of the nucleation layer.
materials that can generate light is key to successful The researchers explain: “With a thinner LT-InP, more
lasing with high efficiency. Shi and Klamkin used a pinholes appear on the surface due to an inferior
range of techniques to reduce the surface defect den- surface coverage following the InP nucleation although
sity to 4.5x107/cm2, along with producing laser diode the surface roughness tends to be lower. In contrast, a
structures aimed at the 1550nm infrared optical fiber better coverage together with apparent step flows can
communications range. Defects such as misfit (MD) be obtained with the thicker InP nucleation, yet the
and threading (TD) dislocations arise from lattice and surface defect density is higher, on the order of
thermal mismatches between the various material lay- 109/cm2 , which can be attributed to the higher
ers being grown. possibility of MDs evolving into TDs with the thicker
The researchers point to “the ever-important need for LT-InP nucleation.”
on-chip light sources for high-capacity silicon photonic Shi and Klamkin settled on a LT-InP thickness of
transceivers for hyperscale data centers and sensing 30nm as an optimum.
applications”. Other potentials include microwave The effect of dislocation filtering was also studied,
photonics, free-space laser communication, and light using strained-layer superlattices (SLSs). Four such
detection and ranging (LiDAR) based on III–V lasers, SLSs were grown separated with 300nm HT-InP. The
photodetectors, modulators and transistors. SLS structure consisted of 10 pairs of 13nm/19nm
Shi and Klamkin used a gallium arsenide (GaAs)-on- InGaAs/InP. Again there was a trade-off between
V-grooved Si (GoVS) template created by metal-organic undesirable features — at low SLS growth temperature
chemical vapor deposition (MOCVD). The InP was the surface roughness of a final 500nm n-InP layer

Figure 1. (a) Schematic of laser epistructure. (b) Room-temperature CW lasing spectrum at 250mA injection
current – primary lasing peak at ~1593nm. Inset: 70°-tilted scanning electron microscope image of as-cleaved
facet. (c) Temperature-dependent power-current curves under CW pumping.

semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020 www.semiconductor-today.com


Technology focus: Optoelectronic integration 71

Figure 2. (a) Schematic of 1µm InP layer regrown on graded InGaAs buffer. (b) Close-up view of the
InP/In0.4Ga0.6As. (c) 10µmx10µm AFM image after 1µm InP regrowth, with some pinholes identified.

increased; high-temperature SLS growth, on the other larger series resistance than lasers realized on conduc-
hand, tended to generate stacking faults. tive InP substrates (1.7Ω on Si and 0.8Ω on InP).”
Surface roughness as low as 3.79nm root-mean-square The devices on silicon may also suffer from reduced
was measured by AFM with 600°C SLS growth. Before heat dissipation arising from defects at InP/GaAs
SLS growth, the defect density was of the order 1010/cm2. interfaces and residual stain in the InP buffer. Despite
By varying the InGaAs composition, surface defect the reduced performance, Shi and Klamkin hope their
densities could be reduced to 7.9x107/cm2, at the cost work “advances the field toward the monolithic integ-
of a rougher surface with a number of ‘hillocks’. ration of InP-based on-chip light sources in the SiPh
Shi and Klamkin also produced a laser structure platform.”
using an InP/Si pseudo-substrate with 1.15x108/cm2 The researchers also reduced defect densities earlier
defect density to grow seven 6.3nm on in the growth by transitioning from the GaAs
In0.73Ga0.27As0.85P0.15 quantum wells separated by 8nm template to an In0.4Ga0.6As buffer before growing an
In0.73Ga0.27As0.52P0.48 barriers (Figure 1). Fabry–Perot InP buffer using the three-step process (Figure 2). The
ridge-waveguide laser diodes were fabricated. The LT and HT values were 495°C and 600°C, respectively.
wafer was thinned and cleaved into laser bars before A relatively thin 1.8µm InGaAs buffer was found to
mounting on a ceramic carrier for testing. have a defect density of 2x108/cm2, compared with
The continuous-wave (CW) laser threshold current of just 2x106/cm2 for a structure grown on pure GaAs
2.05kA/cm2 for a 20µmx1000µm device is described as substrates. The defect density in three-step InP buffers
“reasonable”. The output power reached 18mW/facet grown on the structure was 4x108/cm2, a factor of two
without coatings. The CW operation was possible up to lower than with direct growth on GoVS. Increasing the
65°C. Comparison devices produced on InP substrate HT to 650°C reduced the defect density to 5x107/cm2
had a threshold of 0.675kA/cm2 and CW operation was in one sample. Adding four SLS structures separated by
maintained up to 95°C. Wall-plug efficiencies of 2.7% 250nm HT-InP layers reduced the dislocation density
were achieved for the device on silicon, compared with to 4.5x107/cm2 for the final 500nm InP surface layer.
15% on InP. Shi and Klamkin comment: “Although the surface is
Shi and Klamkin found red-shift effects at higher rougher for the InP buffer on the graded InGaAs layer,
current injection due to self-heating. The researchers improved laser characteristics can be anticipated due
comment: “The heating originates from the reduced to the lower defect density.” ■
injection efficiency on silicon as a result of the residual https://doi.org/10.1063/1.5127030
threading dislocations inside the active region and the Author: Mike Cooke

REGISTER
for Semiconductor Today
free at
www.semiconductor-today.com

www.semiconductor-today.com semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020


72 Technology focus: UV-LEDs

Aluminium gallium nitride


on silicon carbide for
UV light-emitting diodes
Researchers boost light extraction with potassium hydroxide surface roughening
of thin-film flip-chip devices.

U
niversity of California Santa Barbara (UCSB) in The researchers are keen to combat antibiotic-resist-
the USA has been using silicon carbide (SiC) ant bacteria such as Clostridium difficile (C. diff.),
substrates to grow aluminium gallium nitride which is indeed difficult to kill using chemical methods.
(AlGaN) structures aimed at 278nm deep ultraviolet By contrast, UV disinfection reduced C. diff. levels by
(UV-C, 100–280nm wavelength) light-emitting diodes 30%, according to University of North Carolina
(LEDs) [Burhan K. SaifAddin et al, ACS Photonics, researchers in 2010.
7 (2020), 3, p554]. The researchers used surface Fabricating efficient UV-C LEDs has been challenging
roughening of thin-film flip-chip devices to boost due to a number of factors. Material quality can be
light-extraction efficiency (LEE) by a factor of 3 over improved by using SiC rather than sapphire, due to a
smooth-faced LEDs. closer lattice and thermal expansion match. However,
“The ability to grow AlGaN LEDs on SiC with low TDD UV-C light is strongly absorbed in SiC, unlike sapphire,
[threading dislocation density] opens new ways to due to its narrower bandgap. Indeed, sapphire is often
fabricate high-brightness, high-power UV LEDs with used for UV-C LEDs for this reason.
high LEE,” the team comments. The 260–280nm Low-pressure metal-organic chemical vapor deposition
wavelength range enables efficient disinfection by was carried out on 2-inch 6H SiC on the silicon face of
breaking up DNA and RNA molecules of pathogens. a c-plane (0001) crystal orientation. The AlGaN layers

Figure 1. (a) Schematic of the UV-C LED structure. (b) APT 3D reconstruction of active layer showing EBL layer
and four MQWs; (c) 1D concentration profile extracted from APT reconstruction along [0001] direction.
Sampling volume dimension 20nmx20nmx50nm from dotted rectangle in (a).

semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020 www.semiconductor-today.com


Technology focus: UV-LEDs 73

Figure 2. (a) EQE as function of current density for different 278nm UV LEDs processed from same epitaxial
sample. (b) Unflipped UV LED on SiC schematic with p-side up. (c,d) Thin-film flip-chip (TFFC) UV LED
(area ~0.1mm2), (c) before and (d) after surface roughening.

began with a 3.2µm AlN buffer grown in steps at was removed using a sulfur hexafluoride plasma etch.
1200°C and 1000°C (Figure 1). The Al content was The team used potassium hydroxide solution to
reduced with an 1100nm Al0.80Ga0.20N interlayer, and roughen the flipped AlN light-emission surface,
then 550nm n-Al0.60Ga0.40N grown at 1175°C. The increasing light output by reducing reflection back into
multi-quantum well (MQW) region consisted of four the LED material. Also, the p-GaN thickness was
pairs of 2.7nm/9nm Al0.39Ga0.61N/Al0.60Ga0.40N reduced as much as possible, since the material is
wells/barriers. The structure also included an AlGaN absorbing of UV-C radiation. These two measures,
electron-blocking layer (EBL). along with increasing the reflectivity of the p-contact,
The AlN buffer was crack-free due to a “novel substrate are estimated to increase the light-extraction efficiency
pretreatment” (annealing in ammonia/hydrogen at by a factor of 3 over smooth-surface devices.
1250°C) and a multi-step 3x(3D/2D) growth process At 95mA injection current, the roughened UV-C LED
reported by UCSB in 2018. The AlN buffer also achieved had 7.6mW (82mW/mm2) light output power and
a reduced threading dislocation density of ~109/cm2. 1.8% external quantum efficiency. The slope efficiency
The researchers used atomic probe tomography was 89µW/mA. The turn-on voltage was 4.3V. The LEE
(APT) to study the material, in what the team claims was estimated at 33%, the highest reported for AlGaN
was its first use on UV-LED structures. LEDs, the team claims. ■
The material was then fabricated into thin-film flip-chip https://dx.doi.org/10.1021/acsphotonics.9b00600
devices in TO-39 headers. The SiC growth substrate Author: Mike Cooke

REGISTER
for Semiconductor Today
free at
www.semiconductor-today.com

www.semiconductor-today.com semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020


74 Technology focus: GaN-on-silicon

GaN-on-silicon
platform for low-cost
high-power electronics
Mike Cooke reports on recent research towards devices for high-voltage and
high-frequency power switching and RF wireless transmission amplification.

A
n interesting recent feature of gallium nitride Dual-layer silicon nitride threshold
(GaN) electronic development is the use of sili- engineering
con substrates, often in the form of commercial Researchers in China, Hong Kong, USA and Canada
epitaxial wafers from suppliers like China-based Enkris have used two silicon nitride (SiNx) layers on GaN
Semiconductor or Japan’s NTT Advanced Technology HEMTs to push the threshold 1V in the positive direc-
Corp. It is almost understood that, to compete on cost, tion, while reducing off-state leakage and maintaining
the devices will need to be deployed on silicon, and on-current [Wei-Chih Cheng et al, Semicond. Sci.
many research papers now do not even bother Technol., vol35, p045010, 2020]. The dual-layer SiNx
rehearsing the reasons or challenges. The main acts as a stressor, depleting the 2DEG channel under
reasons are low material costs and availability of the gate, and as passivation to reduce off-state leakage
large-diameter wafers for mass production. Challenges through the AlGaN barrier layer. Although the
include higher defect levels arising from mismatches in presented devices were all normally-on, more
terms of the crystal lattices and thermal expansion of positive threshold voltage (Vth) could eventually lead
silicon and III-N materials. to normally-off transistors.
GaN high-electron-mobility transistors (HEMTs), The team involved researchers from China’s Southern
also known as heterostructure field-effect transistors University of Science and Technology (SUSTech),
(HFETs), are being developed for high-voltage, Hong Kong University of Science and Technology
high-density, high-frequency power switching and (HKUST–Washington State University in the USA,
radio-frequency (RF) wireless transmission amplification. University of British Columbia in Canada, GaN Device
Normally-on or ‘enhancement-mode’ (E-mode) Engineering Technology Research Center of
transistors are particularly sought for reduced power Guangdong, China, and China’s Key Laboratory of
consumption and enabling fail-safe high-voltage the Third Generation Semi-conductor.
power switching operation. Also, the normally-off mode The epitaxial material used for the transistors was
simplifies gate-driver circuit design. The high voltage grown by metal-organic chemical vapor deposition
and power handling is based on GaN’s high critical (MOCVD) on 6-inch-diameter <111> Si at Enkris
electric field before breakdown. Semiconductor. The devices (Figure 1) were electrically
The predominant n-channel devices that have isolated using inductively coupled plasma (ICP) mesa
been developed largely depend on the creation of etching. Annealed titanium/aluminium/titanium/gold
‘two-dimensional electron gas’ (2DEG) channels, (Ti/Al/TI/Au) formed the ohmic source-drain contacts.
which arise near the interface between GaN and a The gate consisted of patterned nickel/gold (Ni/Au).
barrier layer, often aluminium gallium nitride (AlGaN). The two layers of SiNx were deposited using dual-
The 2DEG occurs due to band-bending effects arising frequency plasma-enhanced CVD (PECVD). The low-
from contrasts in the charge distribution in the chemi- stress passivation layer has an unintentional tensile
cal bonds holding the Ga, Al and N atoms together. stress of 0.3GPa. The layer used a process avoiding
Without special measures, the 2DEG channel conducts the low-frequency plasma excitation step, to reduce
when the gate potential is 0V, giving a normally-on surface damage from nitrogen ion bombardment.
‘depletion-mode’ (D-mode). The addition of low-frequency plasma excitation for
Here, we look at recent developments using the the second layer produced a high-compressive-stress
GaN/Si platform. –1GPa film.

semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020 www.semiconductor-today.com


Technology focus: GaN-on-silicon 75

Figure 1. Device structure of AlGaN/GaN HEMT showing gate (Lg), source-to-gate (Lsg), and gate-to-drain
(Lgd) lengths/spacings. Channel consisted of unintentionally doped GaN (i-GaN).

The presence of 200nm stressed SiNx


enabled the Vth to be pushed 1V in the
positive direction. Combining the
stressor with a 14nm passivation layer
increased the on-current to the level of
a baseline (BSL) device, which had a
200nm SiNx passivation layer without
stressor.
The combined 200nm/14nm
stressor/passivation transistor achieved
a maximum on-current of 1A/mm
(Figure 2). The peak transconductance
was 280mS/mm with 7V drain bias, put-
ting the device in the saturation region.
The drain current was comparable with
the baseline transistor, while the
transconductance was higher by around
30mS/mm.
RF measurements gave a cut-off (fT) of
36GHz, while the stressed device with-
out passivation only achieved 20GHz.
The BSL component had a comparable fT
of around 36GHz.
Surface damage also adversely affected
the off-current (Ioff) in the stressed
devices without passivation. Adding
passivation thicker than 7nm reduced
the off-current leakage even below that
of the baseline device.

Figure 2. (a) Transfer characteristics


of BSL and strained devices at 7V
drain bias. (b) H21 current gain of BSL
and strained devices biased to 7V
drain and 1V above gate threshold.

www.semiconductor-today.com semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020


76 Technology focus: GaN-on-silicon

Figure 3. Schematics of (a) bare-bones as-grown device A, (b) device B with etched recessed gate, and
(c) device C with Mg diffused gate stack after etching treatment.

Magnesium thermal diffusion for p-gates The device was based on epitaxial material with
South China University of Technology has developed a 4.7μm buffer, 300nm undoped GaN channel, 15nm
simplified fabrication process for normally-off AlGaN- Al0.15Ga0.85N barrier, 2nm GaN cap layers on silicon.
barrier GaN-channel HEMTs with a p-type gate stack The transistor fabrication began with 5 seconds of ICP
[Lijun Wan et al, Appl. Phys. Lett., vol116, p023504, etch in the gate region, before depositing a 50nm layer
2020]. Introducing p-type material above the channel of Mg with electron-beam evaporation. The underlying
in the gate region of the device is one technique for AlGaN was p-type doped with the Mg by rapid thermal
depleting the 2DEG, cutting off current flow at 0V gate annealing at 600ºC for a minute. Further annealing in
potential. air at 250ºC for a minute created a magnesium oxide
The p-type doping under the gate electrode was (MgO) passivation layer.
achieved by magnesium (Mg) thermal diffusion rather The source-drain ohmic contacts consisted of
than the more usual inclusion as a precursor in the annealed Ti/Al/Ni/Au. Mesa etching with ICP formed
epitaxial material growth process. The team sees their the electrical isolation of the devices. A Ni/Au gate
work as “commercially promising” for manufacture of electrode on the MgO completed the transistor.
normally-off HEMTs with low gate leakage. The method The rapid ICP etch before Mg deposition roughens the
successfully increased the Vth into positive values, surface and introduces defects, allowing the metal
creating a normally-off device. atoms to penetrate/diffuse more deeply into the AlGaN
barrier layer in the gate region during the thermal
anneal. Atomic force microscopy (AFM) suggested that
the etch depth was around 6nm, removing the GaN
cap and partially etching and recessing the AlGaN.
Three device types were tested (Figure 3): A was a
conventional HEMT without ICP etch or Mg diffusion;
B was a HEMT with ICP etch, recessing the gate, but
no Mg in the gate region; and, finally, C had the full
gate stack with ICP etch and Mg diffusion.
The Vth for transistors A-C, in order, were –1.5V,
–0.4V and +1.4V. The corresponding peak transcond-
uctances were 68mS/mm, 105mS/mm and 97mS/mm.
Although the gate control, as represented by the peak
transconductance, fell back somewhat for device C, the
value was still higher than for the bare-bones HEMT A.
The process did hit the drain saturation current from
275mA/mm and 300mA/mm for devices A and B,
Figure 4. Gate current density (IGS) as function of respectively, with C only managing 173mA/mm. The
voltage (VGS) for devices A–C. gate potential in these measurements was +3V. The

semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020 www.semiconductor-today.com


Technology focus: GaN-on-silicon 77

researchers suggest a depleted


2DEG may be caused by holes
injected from the Mg-diffused layer.
The gate leakage currents with 0V
gate were 3.7x10–5mA/mm and
2x10–7mA/mm for devices B and C,
respectively (Figure 4). Transistor C
still had only 6.5x10–4mA/mm gate
leakage with the gate at +0.4V. The
researchers credit the passivating
effect of MgO on surface trap states
from the etch processing for the
good performance.

Ozone precursor for


hafnium dioxide dielectric
North Carolina State University in
the USA has been studying ozone
(O3) as means to improve hafnium
dioxide (HfO2) dielectric deposition
for AlGaN-barrier insulated-gate
metal-oxide-semiconductor HFETs Figure 5. Schematic cross section of AlGaN/GaN MOS-HFET.
(MOS-HFETs) on silicon substrate
[Faisal Azam et al, IEEE Transactions on Electron pulse of oxidant. The single-pulse resulted in a slightly
Devices, vol.67, p881, 2020]. higher capacitance above threshold, compared with
The researchers used AlGaN/GaN epitaxial material the double O3–O3 pulse ALD recipe. The researchers
on <111> Si, supplied by Japan’s NTT Advanced suggest that this could be due to variation in thickness
Technology Corp. The device was fabricated using a of the dielectric layer, or change in dielectric constant
single dielectric for both gate insulation and surface with the degree of crystallinity.
passivation of the source/drain access regions, much The MOS-HFETs reached 340mA/mm maximum satu-
simplifying the processing (Figure 5). ration drain current with O3 dielectric, compared with
The fabrication sequence was: mesa reactive-ion etch 240mA/mm for H2O oxidation. The gate potential was
(RIE), deposition and annealing of Ti/Al/Ni/Au 4V. The higher value for O3 dielectric was attributed to
source–drain electrodes, ultrasonic and wet surface a cleaner HfO2/AlGaN interface with less surface states
cleaning of gate and access regions, atomic layer dep- affecting the 2DEG conduction channel.
osition (ALD) of hafnium dioxide (HfO2) gate/passivation The specific on-resistance with the gate at 3V over
dielectric, post-deposition annealing in nitrogen, and pinch-off was reduced by 20% from using O3 oxidation
RF sputtering of tantalum nitride/tungsten (TaN/W) in a device with 15μm gate–drain distance. “This is a
gate electrode. significant enhancement in the performance that
The HfO2 atomic layer deposition process used should directly translate to lower conduction loss, i.e.
tetrakis(dimethylamino)hafnium (TDMAH) as the higher efficiency in power switching applications,” the
Hf precursor. For the oxygen component, the team team writes.
studied the benefits of ozone (O3) over the more usual The Vth for devices with H2O, O3 and no (i.e. a Schottky
water (H2O). In capacitance-voltage measurements at HFET) dielectric were –12.1V, –4.7V and –2.95V,
10kHz on MOS structures, the effect of using O3 was to respectively. The more negative threshold for H2O
reduce threshold hysteresis by about a factor of two. dielectric is again blamed on proton incorporation in
The team attributes the improvement to reduced the AlGaN barrier.
charge trapping in defects and possible O3 AlGaN The O3 oxidant also benefited transconductance,
surface passivation and enhanced interface quality. giving a peak value of 112.6mS/mm, compared with
The use of O3 oxidation also tended to shift the 81.38mS/mm for H2O-based dielectric. Gate leakage
threshold from around –12V, for H2O precursor, to –6V, was also reduced by more than an order of magnitude
depending on ALD process details. This might be by using an O3 ALD process: 5.4x10–6A/cm2, compared
+
related to H ions, i.e. protons, being incorporated in with 1.7x10–4A/cm2 when H2O oxidant was used.
the AlGaN surface. Such an effect was absent with O3. Studies of the effect of temperatures up to 200ºC on
The researchers also varied the ALD process, alter- device performance also showed greater stability of
nating the HF precursor with either a single- or double- on-resistance and Vth in the O3 ALD devices.

www.semiconductor-today.com semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020


78 Technology focus: GaN-on-silicon

20ms to
achieve 90%
drain current
recovery,
whereas O3
oxidant took
~0.1ms to
achieve 90%
drain current
recovery, an
extraordinary
200x potential
improvement,”
the team
reports.
The reduced
current collapse
of the O3 and
H2O oxidant
devices, com-
pared with the
unpassivated
Schottky HFET,
was maintained
at 150ºC high
temperature.
Indeed, the
passivated
devices showed
reduced current
collapse, while
the Schottky
HFET’s per-
formance wors-
ened further.
Devices where
the O3 was
applied in two
pulses between
the Hf pulse in
the ALD
process showed
“near-ideal
behavior”,
Figure 6. DC/RF dispersion: gate lag at (a) room temperature and (b) elevated temperature, according to the
150ºC. researchers.

High-temperature reverse-bias stress testing was Complementary p-channel transistors


carried out for 1000s at 150ºC with 150V drain bias Hong Kong University of Science and Technology
and the gate at 3V below threshold. The H2O transistors (HKUST) report on p-channel metal-oxide-semiconductor
showed a +2.5V drift in threshold (Vth) over the test field-effect transistors (MOSFETs) produced on
period. The O3 dielectric reduced this to less than 0.5V. GaN-on-Si substrates [Zheyang Zheng et al, IEEE
The current collapse recovery was assessed by apply- Electron Device Letters, vol.41, p26, 2020]. The
ing short pulses with 100ns rise time (Figure 6). The researchers used commercial 8-inch-diameter GaN-on-Si
O3 devices performed significantly better than H2O or wafers with epitaxial structures designed for 650V
bare Schottky HFETs. “Specifically, H2O oxidant took normally-off p-GaN gate power HEMTs (Figure 7).

semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020 www.semiconductor-today.com


Technology focus: GaN-on-silicon 79

Figure 7. Schematic of (a) E-mode GaN pFET (LGS/LG/LGD = 4/2/4μm) and (b) energy band diagram at gated
region of buried p-channel with 0V (OFF) and beyond threshold (ON) gate potentials (VGS).

As has been seen above, devices with n-type channels contacts evaporated onto the p-GaN, which had previ-
with negatively charge carriers (electrons) have been ously been subjected to a 5-minute buffered oxide etch,
intensively developed in recent years, but the creation presumably to improve the surface and remove
of p-channels would enable complementary integrated contaminants. The gate recess was defined by a
circuit (IC) designs, which would further reduce power 200nm PECVD silicon dioxide (SiO2) hard mask, which
loss in logic control sys-
tems. Although some
progress has recently
been made in developing
an analogous two-
dimensional hole gas
(2DHG) for p-channels,
effective devices remain
to be achieved. The
HKUST work focuses
instead on using p-GaN
material achieved using
magnesium doping.
The GaN-on-Si material
included ~12nm AlGaN
barrier and ~85nm p-
GaN top layer. The
undoped GaN buffer
was ~4.5μm thick. The
structure was found to
have a hole sheet density
of 1.23x1013/cm2 and
mobility 10.2cm2/V-s,
according to Hall meas-
urements.
The HKUST p-channel
devices were fabricated
with 500ºC-annealed
Ni/Au ohmic source–drain Table 1. Benchmark of p-channel GaN FETs.

www.semiconductor-today.com semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020


80 Technology focus: GaN-on-silicon

also served as surface passivation. The p-GaN recess The on-resistance for the E-mode device was a
was formed using ICP RIE. “relatively large” 2.4kΩ-mm at low drain bias. This
An oxygen plasma treatment increased the surface reduced somewhat at –5V drain to 1.6kΩ-mm. The
roughness at the bottom of the recess from 0.36nm maximum drain current was 6.1mA/mm at –10V drain.
root-mean-square to 0.41nm, according to atomic The off-current with 0V gate was 1.2x10–7mA/mm.
force microscopy. The recess depth was found to be The team sees this low off-current as “delivering an
about 54nm, leaving ~31nm of p-GaN material above ultra-low static power consumption required in CMOS
the AlGaN barrier for the channel. logic gates.”
The gate structure was completed with 20nm The researchers compared their device with others
ALD aluminium oxide (Al2O3) insulation and 400ºC- previously presented in the scientific literature (Table 1).
annealed Ni/Au metal electrode. The electrical isolation
of the devices was from fluorine ion implantation Hydrogen-terminated diamond transistors
rather than mesa etching. The researchers used École polytechnique fédérale de Lausanne (EPFL) and
fluorine implant to avoid current leakage along rough Lake Diamond SA in Switzerland claim the first p-chan-
mesa sidewalls. The implant occurred between the nel hydrogen-terminated diamond transistors (HTDTs)
Al2O3 and gate metal deposition steps. on GaN-on-Si templates that demonstrate high-power
The device demonstrated a Vth of –1.7V, giving device performance comparable with other HTDTs on
normally-off enhancement-mode behavior at 0V gate. polycrystalline and even monocrystalline diamond
The oxygen plasma treatment enabled the negative [Reza Soleimanzadeh et al, IEEE Electron Device Letters,
threshold — without the treatment, the device became vol41, p119, 2020].
depletion-mode with the threshold at +2.2V. The The researchers suggest that the integration of
on-current of the enhancement-mode device was p-channel HTDTs with n-channel GaN transistors opens
67% that of the depletion-mode transistor without “a pathway for future complementary power switch
oxygen plasma treatment. and logic applications”. The diamond layer is also
thermally conductive,
allowing improved
thermal management
of GaN devices in
high-power-density
applications. The
team sees the poten-
tial for complemen-
tary logic operation,
gate drivers and
complementary
power switches
in integrated
power inverters and
converters.
The researchers
used an AlGaN
GaN-on-Si template
as used for the fabri-
cation of n-channel
HEMTs. The template
was prepared for
diamond deposition
by applying layers of
30nm SiN and 5nm
Si. These layers were
designed to protect
the template mater-
Figure 8. (a) Three-dimensional (3D) optical microscope image of fabricated HTDT, ial from the harsh
constructed using focus stacking. (b) Schematic of HTDTs. (c) Top-view SEM image of diamond deposition
diamond surface. (d) Cross-sectional optical microscope image of diamond layer environment, along
showing larger grain sizes at top. with enhancing

semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020 www.semiconductor-today.com


Technology focus: GaN-on-silicon 81

adhesion and thermal


conductivity between
the materials.
The polycrystalline
diamond deposition
was seeded with
1–150μm nanoparticles
applied in isopropanol
solution. The main
diamond deposition
consisted of
microwave-plasma
CVD (MPCVD) at
800ºC. The plasma
power was 3.5kW. The
carbon source was 5%
methane at 140mbar
pressure. Trace quanti-
ties of nitrogen and
argon were added to
improve the growth
rate. The carrier gas is
not mentioned, but
hydrogen is one gas
that is used in such
processes elsewhere.
Microscopic analysis Figure 9. Benchmark of specific-on resistance (Ron,sp) and breakdown voltage (VBr)
of the diamond layer of this work with heteroepitaxial material on silicon carbide (SiC), as well as
showed grains of polycrystalline and monocrystalline substrate HTDTs.
average size 34μm,
smaller than the 100μm grains often reported for the The breakdown of the device occurred at –400V.
technique. The grains are smaller in the nucleation The lateral critical field was estimated to be 0.4MV/cm,
region, becoming larger at the surface of the 130μm- according to studies using isolated contact pads
thick diamond layer. separated by varying distances. The researchers report
Further transistor (Figure 8) processing consisted of that monocrystalline diamond has achieved lateral
surface hydrogenation with 650ºC 2.8kW hydrogen breakdown fields of 1MV/cm.
plasma, deposition of 200nm-thick Au ohmic contacts, The effective lateral thermal conductivity came out at
wet-etch Au removal from non-contact areas, 800W 900W/m-K in samples where the silicon substrate
oxygen plasma treatment to isolate devices, 200ºC was removed from the backside of the diamond/GaN
ALD of 80nm aluminium oxide as gate oxide and layers. The diamond grain size in the sample was 3μm
surface termination, and deposition and plasma-etch on average.
patterning of 300nm-thick Al gate electrode. Comparing the performance with other polycrystalline
The hydrogenation resulted in a p-type conductivity and monocrystalline devices (Figure 9), the researchers
with ~1014/cm2 hole density, according to Hall meas- observe that “there is still a gap between the perform-
urements. The 1.3cm2/V-s mobility resulted in a sheet ance of current HTDTs and their theoretical limits,
resistance of 50Ω/square. The mobility was adversely which highlights the significant potential for improve-
affected by impurity scattering, the small grain sizes, ment of this technology.”
and the rough surface — values of 3cm2/V-s have been At the same time, the device exceeds the performance
measured for holes in single-crystal diamond. of GaN-based p-channel transistors in terms of
The fabricated transistor with 4μm gate length “6-times higher current density, 4-orders of magnitude
9
achieved an on/off current ratio of 10 . The higher on-off ratio and more than 6-times higher
source–gate and gate–drain distances were 2μm and thermal conductivity”. ■
8μm, respectively. The on-current reached
–60mA/mm. The specific on-resistance of 84mΩ-cm2 The author Mike Cooke is a freelance technology journalist
is described as “low”. The leakage current was “very who has worked in the semiconductor and advanced
low” at less than 1μA/mm, even near breakdown. technology sectors since 1997.

www.semiconductor-today.com semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020


82 Patent focus: Power electronics

TSMC’s GaN-on-Si patents


supporting ST’s strategic
move towards power GaN
adoption in automotive use
At least 12 key TSMC inventions relate to GaN-on-Si power applications.

A
s announced in February, STMicroelectronics of inventions narrowly related to power applications,” says
Geneva, Switzerland is collaborating with Taiwan Remi Comy PhD, Knowmade’s technology and patent
Semiconductor Manufacturing Corporation analyst, Compound Semiconductors and Electronics.
(TSMC, the world's biggest silicon wafer foundry) to Indeed, TSMC was actively filing GaN-on-Si patents for
accelerate the development of gallium nitride (GaN) power applications between 2012 and 2017 and has
technology for power applications, and more specifically strongly focused on the USA (20+ granted patents).
for automotive applications (converters and chargers The patent portfolio protects technological approaches
for hybrid and electric vehicles). providing improved GaN-on-Si buffer resistivity, using
With this recent manufacturing partnership, STMicro- three main approaches:
electronics has joined the series of companies that ● p-type conductivity dopants in graded buffer layers
have trusted TSMC for volume production of GaN and ungraded buffer layers (US patent 8,791,504);
power devices, including market leader GaN Systems, ● diffusion-blocking layer between the buffer layer and
as well as VisIC and Navitas Semiconductor (focusing the silicon substrate (US patent 9,245,991);
on GaN power IC technology). STMicroelectronics will ● multi-strained superlattice structures (SLS) to
begin by sampling discrete GaN power devices, to be overcome the limitations due to carbon doping of the
followed soon by GaN IC products based on TSMC’s buffer layers (US patent 10,109,736).
GaN-on-Si process technology. Next, TSMC focused its patenting activity on removing
TSMC’s GaN-on-Si technology was reviewed in the breakdown voltage limitations due to the surface
Knowmade’s ‘GaN-on-Si Patent Landscape Analysis’ gate–drain region, inserting buried dielectric portions in
(released in January), which covers about 40 patent the AlGaN barrier (Figure 1a), in addition to the use of
families (inventions) related to this technology, field-plate structures (Figure 1b) and an AlGaN barrier
regrouping more than 130 patents filed worldwide, with Al-graded composition (US patent 10,522,532).
mainly in US (70+) and China (25+). Interestingly, TSMC’s latest GaN-on-Si developments
“TSMC has leading GaN-on-silicon manufacturing for power applications focused on the fabrication of
expertise, and we have identified at least 12 key GaN power integrated circuits (ICs) via US patent

Figure 1: (a) GaN HEMT with one or more dielectric plug portions in the barrier between the gate and the drain
(US patent 8,884,308). (b) Substrate breakdown voltage improvement for group III-nitride on silicon substrate
(US patent 9,111,904).

semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020 www.semiconductor-today.com


Patent focus: Power electronics 83

Figure 2: Normally-off structures patented in 2017-2018 by STMicroelectronics (US patents 10,566,450,


10,050,136 and 10,522,646).

9,793,389, related to the isolation of adjacent GaN-on-Si and Atomic Energy Commission (CEA), focused on the
power devices, and US patent 10,522,532 related to development of GaN power devices on 200mm silicon
the formation of through-GaN vias (TGVs). substrates, in view of establishing a pilot manufac-
In the ‘GaN-on-Si Patent Landscape Analysis’, turing line in 2020 in STMicroelectronics’ foundry in
Knowmade also analyzed the patent portfolio of Tours, France.
STMicroelectronics, which is still strengthening its CEA is also a well-established IP player in the
IP position in the power GaN patent landscape. In GaN-on-Si patent landscape, with more than 40
2017–2018, ST focused on GaN device technology, patented inventions. Over the last three years, it has
especially normally-off transistor structures (Figure 2). intensified its GaN-on-Si patenting activity in the field
STMicroelectronics’ normally-off transistor structures of power applications with six additional inventions.
(US patents 10,516,041, 10,566,450 and 10,522,646) CEA first focused on enhancement-mode device technol-
are based on a tri-layer epitaxial stack NiO/AlGaN/GaN, ogy (Figure 3a) and then focused on the epi-structures
the selective removal of NiO in the gate region and the in order to enhance the vertical breakdown voltage. Its
deposition of a gate dielectric (AlN, Al2O3 or SiO2) on recent GaN-on-Si patenting activity also includes an IP
the AlGaN barrier (with or without recess). The buffer collaboration with automotive player Renault regarding
region may include a first carbon-doped buffer layer power GaN device technology (Figure 3b).
for increasing the breakdown voltage and a second “Following the R&D collaboration between STMicro-
p-type buffer layer for limiting the degradation of electronics and CEA since 2018, and the recent
dynamic on-resistance due to the first buffer layer. announcement of partnership between STMicroelec-
It can be combined with the presence of a sloped field tronics and TSMC, we expect an acceleration of their
plate in order to further reduce dynamic on-resistance respective patenting activity on power GaN-on-silicon in
phenomenon, implemented with the advantageous the next months,” says Remi Comyn of Knowmade. ■
method described in US patent 10,050,136. www.tsmc.com
Previously — in 2018 — STMicroelectronics started a www.st.com
joint R&D program with The French Alternative Energies www.knowmade.com/downloads/gan-power-rf-patent-monitor

Figure 3: (a) Method for forming an implanted area under the gate region, for a normally-off heterojunction
transistor (US patent 10,164,081). (b) III-N heterojunction transistor with a vertical structure (WO patent
application 2018/100262).

www.semiconductor-today.com semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020


84 Technology focus: Gallium oxide

Growing ε polytype gallium


oxide with gallium nitride
Researchers’ first investigation suggests “very promising” opportunities for
new high-voltage high-electron-mobility electronics.

R
esearchers based in Germany and Italy have ε form of Ga2O3 decomposes above 700°C. This
been exploring the growth of ε-polytype gallium restricts the thermal budget of processes involving the
oxide (ε-Ga2O3) combined with gallium nitride material.
(GaN) on sapphire substrates with a view to deploy- The team from Fraunhofer Institute for Applied Solid
ment in high-electron-mobility transistors (HEMTs) State Physics (IAF) in Germany, University of Parma in
[Stefano Leone et al, Journal of Crystal Growth, Italy, Institute of Materials for Electronics and Magnetism
vol534, p125511, 2020]. (IMEM-CNR) in Italy and Albert-Ludwigs Universität
GaN-based high-frequency and high-voltage power Freiburg in Germany focused on growth using
devices are moving to wider commercial deployment metal-organic chemical vapor deposition (MOCVD)
in AC–DC inverters, power supplies, 600V switches, since it is the technique most favored in manufacturing.
and the generation of communications and radar The researchers claim that their work is the first inves-
radio signals. The combination of GaN with ferroelectric tigation of GaN/ε-Ga2O3 MOCVD.
ε-Ga2O3 could lead to interesting further electronic The team employed two separated MOCVD reactors for
opportunities. deposition of ε-Ga2O3 and GaN. The material surfaces
The ε-Ga2O3 material also has a strong spontaneous were exposed to air on transfer between the chambers.
charge polarization, arising from the crystal structure The GaN deposition used trimethyl-gallium (TMGa) and
and stronger ionic character of the chemical bond. ammonia (NH3) precursors in hydrogen carrier gas.
Such polarization could give rise to two-dimensional The substrate was mainly on-axis sapphire: (001)
electron gas (2DEG) HEMT channels with very high aluminium oxide (Al2O3). The ε-Ga2O3 was deposited in
carrier density. There are, of course, also strain- a cold-wall system at 610°C. The precursors for this
dependent piezoelectric effects to be expected. were TMGa and water (H2O) in hydrogen carrier gas.
Ferroelectricity has mainly been deployed in non-volatile The GaN growth rate at 1050°C was around
memory devices up to now, but recent research has 1µm/hour. The ε-Ga2O3 deposition was at about half
also used the property to create ‘negative capacitance’ that rate, 500nm/hour. Some samples included a
gate stacks. Such negative capacitance enables the 10nm silicon nitride (SiN) passivation layer for studying
subthreshold swing to go lower than the standard electronic properties towards the fabrication of HEMTs.
thermal limit of 60mV/decade. Such sharply switching The SiN was deposited using inductively coupled
devices are of interest in silicon electronics for plasma CVD, using silane (SiH4) and NH3.
low-voltage operation and low power consumption. The ε-Ga2O3 polytype has an orthorhombic (pseudo-
Of course,
GaN-based
electronics
is not so
interested
in low volt-
age.
One factor
that has to
be consid-
ered is
that the
ε polytype
crystal
structure is
more stable Figure 1. SEM studies of 5-minute ε-Ga2O3 MOCVD on (left) on-axis and (right) 4° off-cut GaN on
and the sapphire.

semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020 www.semiconductor-today.com


Technology focus: Gallium oxide 85

Figure 2. (left) Capacitance versus voltage and conductance/angular frequency (G/ω) versus voltage and
(right) CV-derived carrier density versus depth profile.

hexagonal) crystal structure with nominal 8.8% lattice SiN/ε-Ga2O3 barrier thickness of 25nm. The SiN passi-
mismatch with GaN. The researchers also investigated vation was 10nm.
depositing the ε-Ga2O3 before the GaN — the drawback The growth time of the ε-Ga2O3 was 17 minutes.
being that ε-Ga2O3 begins a transition to β-Ga2O3 at 700°C, Thinner layers with shorter growth times down to
which completes at 900°C. Thus, with ε-Ga2O3-first 3 minutes were less uniform and consequently per-
deposition the researchers reduced the GaN growth formed less well in CV measurements. Difficulties in
temperature to 690°C. Although the GaN-on-ε-Ga2O3 making Ohmic contacts has inhibited the performance
(GaN/ε-Ga2O3) surface was smooth, there were sev- of Hall measurements and hence the assessment of
eral defects visible in microscopic inspection. carrier mobility. Sheet resistance values were relatively
Depositing the GaN at high temperature (1050°C) high: 1300–2200Ω/square and 3300Ω/square for
converted the ε material to β. according to x-ray analysis. on- and off-axis samples, respectively.
By contrast, the low-temperature GaN/ε-Ga2O3 The sheet carrier density was estimated to be
remained in the ε phase. The crystal quality of the 6.4x1012/cm2, using the CV data. This value was well
overlying GaN is described as “poor” in both cases, on short of theoretical expectations of 1.2x1014/cm2,
the basis of large full-width at half-maximum (FWHM) using Schrödinger–Poisson modeling. The modeled
values for various x-ray rocking curve peaks. The low- values for AlN and Al0.25Ga0.75N barriers are
temperature sample was particularly poor. 6.5x1013/cm2 and 1.1x1013/cm2, respectively. The
Although the growth of ε-Ga2O3 on GaN was better, higher expectation for ε-Ga2O3 barriers rests on a
the deposition tended to begin with 3D islands that higher spontaneous charge polarization of the crystal
later began to coalesce. Such behavior could lead to structure and the more ionic character of the chemical
decent quality in thick layers, but the researchers were bonds.
more interested in creating thin ε-Ga2O3 on GaN The suppression of the 2DEG sheet carrier density in
heterostructures with a view to fabrication of HEMTs. the experimental samples relative to theoretical expec-
To encourage 2D layer-by-layer growth of ε-Ga2O3 the tations could be due to factors such as non-optimized
team tried using 4° off-cut (0001) sapphire substrates, morphology and high defect densities. Despite this,
giving the GaN surface a step-and-terrace texture. the team sees their results as being “very promising”.
“The coalescence in this sample seems to be much One key component in future HEMT development
more effective than in the on-axis samples,” the would be the fabrication of low-contact-resistance
researchers observe from scanning electron microscope Ohmic source-drain electrodes without exceeding the
(SEM) studies (Figure 1). 700°C thermal limit imposed by the ε-to-β polytype
Capacitance-voltage (CV) analysis of the materials phase transition of the Ga2O3. The team points hope-
detected 2DEG behavior only when the sample was fully to recent work using titanium/gold annealed
capped with SiN passivation. However, there was for three hours at 300°C, which gave low reported
a high level of hysteresis in the curves, ~0.9V, contact resistance on ε-Ga2O3. ■
suggesting the presence of defects or ionized states. https://doi.org/10.1016/j.jcrysgro.2020.125511
A SiN/ε-Ga2O3/GaN structure was found to have a www.imem.cnr.it
carrier density profile consistent with a combined Author: Mike Cooke

www.semiconductor-today.com semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020


86 Technology focus: RF electronics

PiTrans project results


in AlScN-based SAW
resonators for smartphones
Two further Fraunhofer IAF-led AlScN-based projects targeting wide-bandgap
current sensors and switchable HEMTs

T
he ever-growing mobile data transfers in the AlN-based nitrides as the piezo-active layer. Within the
wake of 5G require the use of more and higher five years of the project, the researchers succeeded in
frequency ranges, all of which need to be growing highly crystalline AlScN layers and realizing
accommodated within a single mobile device. The surface acoustic wave (SAW) resonators that meet the
demands on radio frequency (RF) components are increasing requirements of the industry.
hence constantly increasing. The Fraunhofer Institute for For growth of the material, which is also promising
Applied Solid State Physics IAF of Freiburg, Germany for other power electronic applications, a modern
has developed novel, compact and energy-efficient magnetron-sputtering infrastructure was established
high-frequency/high-bandwidth RF filters to meet at Fraunhofer IAF. The project was funded by a
those needs (‘Enhanced electromechanical coupling in ‘Fraunhofer Attract’ excellence stipend program and
SAW resonators based on sputtered non-polar was successfully completed in January under the
– –
Al0.77Sc0.23N (1120)1120 thin films’, Appl. Phys. Lett. leadership of Dr Agne Zukauskaite.
116, 101903 (2020)). During the project ‘PiTrans —
AlScN — Development of novel piezoelectric materials’ Potential and challenges of AlScN
(running from 2015 to 2020) the researchers managed AlScN remains the most promising new material to
to grow aluminum scandium nitride (AlScN) with the replace conventional aluminum nitride (AlN) in RF filter
required industrial specifications and to realize novel applications inside mobile phones. By introducing
electroacoustic devices for smartphones. scandium (Sc) into AlN, the electromechanical coupling
The amount of RF components built into a single and piezoelectric coefficient of the material is increased,
smartphone has increased significantly over the past enabling a more efficient mechanical-to-electric energy
years and there is no end in sight. Predicting this trend conversion. This allows the production of much more
in 2015, the PiTrans project set out to develop and efficient RF devices. However, the instability of the
produce improved RF piezo-transducers with ternary piezoelectric AlScN crystal phase has so far been a
problem for industrial use of the material,
as segregation of wurtzite-type AlN and
cubic ScN usually occurs during growth.
“Back in 2015, we knew the potential of
AlScN, but we needed to find the right condi-
tions to grow it in a stable and scalable
process,” recalls Zukauskaite.

Successful growth and device


development
In the course of the project, the researchers
at Fraunhofer IAF managed to grow highly
crystalline AlScN layers with a wide range of
compositions up to a Sc content of 41%.
Good homogeneity of the layers was
achieved across the entire silicon wafer
up to 200mm in diameter, which meets the
requirements of industrial productions.
Besides these industry-relevant results,

semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020 www.semiconductor-today.com


Technology focus: RF electronics 87

the project team also succeeded in


realizing epitaxial growth on lattice-
matched sapphire (Al2O3) substrates
through a special magnetron sputter
epitaxy (MSE) method of deposition,
which will be useful for future material
research.
In addition to the material develop-
ment, the researchers produced three
generations of test structures to
demonstrate the performance of the
AlScN thin films. The implementation
of MSE to produce AlScN/Al2O3-
based resonators yielded an electro-
mechanical coupling increase of up
to 10% at 2GHz frequency. In a
collaboration with the companies
Evatec and Qualcomm, a non-polar
AlScN thin film was also developed
that further improves the electro-
mechanical coupling of SAW res-
onators. This technology is currently
being further researched, and first Piezoelectric properties of AlScN layers produced at IAF compared with results
results have recently been published of other research institutes. © Fraunhofer IAF
in a scientific paper.
projects benefit from the developed expertise in
AlScN for other applications AlScN growth and AlScN-based device development
“We see AlScN as a very promising candidate for as well as the necessary infrastructure established at
enabling future applications that capitalize on the Fraunhofer IAF. ■
piezoelectric effect, such as sensor technologies and https://doi.org/10.1063/1.5129329
high-electron-mobility transistors [HEMTs],” says www.iaf.fraunhofer.de/en/researchers/electronic-
Zukauskaite. The success of the PiTrans project led to circuits/high-frequency-electronics/pitrans.html
the acquisition of
two further projects
involving AlScN
technology at
Fraunhofer IAF.
In the project
mAgnes, wide-
bandgap current
sensors (such as
those used in e-
cars) are being
researched; and in
the project SALSA
the research team
is developing new
types of switchable
HEMTs. Both

Silicon wafer with


AlScN-based
SAW resonator
structures
fabricated at
Fraunhofer IAF.

www.semiconductor-today.com semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020


88

suppliers’ directory
Index
1 Bulk crystal source materials p88 14 Chip test equipment p91
2 Bulk crystal growth equipment p88 15 Assembly/packaging materials p92
3 Substrates p88 16 Assembly/packaging equipment p92
4 Epiwafer foundry p89 17 Assembly/packaging foundry p92
5 Deposition materials p89 18 Chip foundry p92
6 Deposition equipment p90 19 Facility equipment p92
7 Wafer processing materials p90 20 Facility consumables p92
8 Wafer processing equipment p90 21 Computer hardware & software p92
9 Materials and metals p91 22 Used equipment p92
10 Gas & liquid handling equipment p91 23 Services p92
11 Process monitoring and control p91 24 Consulting p93
12 Inspection equipment p91 25 Resources p93
13 Characterization equipment p91
To have your company listed in this directory, e-mail details (including categories) to mark@semiconductor-today.com
Note: advertisers receive a free listing. For all other companies, a charge is applicable.

CrystAl-N GmbH
1 Bulk crystal source 2 Bulk crystal growth Dr.-Mack-Straße 77,
materials equipment D-90762
Fürth,
Mining & Chemical Products Ltd MR Semicon Inc Germany
(part of 5N Plus, Inc) PO Box 91687, Tel: +49 (0)911 650 78 650 90
1-4, Nielson Road, Albuquerque, Fax: +49 (0)911 650 78 650 93
Finedon Road Industrial Estate, NM 87199-1687, E-mail: info@crystal-n.com
Wellingborough, USA www.crystal-n.com
Northants NN8 4PE, Tel: +1 505 899 8183
UK Fax: +1 505 899 8172 Crystal IS Inc
Tel: +44 1933 220626 www.mrsemicon.com 70 Cohoes Avenue
Fax: +44 1933 227814 Green Island, NY 12183, USA
www.MCP-group.com Tel: +1 518 271 7375
3 Substrates Fax: +1 518 271 7394
Umicore Indium Products www.crystal-is.com
AXT Inc
50 Simms Avenue,
4281 Technology Drive,
Providence, RI 02902, Freiberger Compound Materials
Fremont,
USA Am Junger Loewe Schacht 5,
CA 94538,
Tel: +1 401 456 0800 Freiberg, 09599, Germany
USA
Fax: +1 401 421 2419 Tel: +49 3731 280 0
Tel: +1 510 438 4700
www.thinfilmproducts.umicore.com Fax: +49 3731 280 106
Fax: +1 510 683 5901
www.fcm-germany.com
www.axt.com
United Mineral & Chemical Corp
Supplies GaAs, InP, and Ge wafers
1100 Valley Brook Avenue, Kyma Technologies Inc
Lyndhurst, NJ 07071, using VGF technology with 8829 Midway West Road,
USA manufacturing facilities in Beijing Raleigh, NC, USA
Tel: +1 201 507 3300 and five joint ventures in China Tel: +1 919 789 8880
Fax: +1 201 507 1506 producing raw materials, including Fax: +1 919 789 8881
www.umccorp.com Ga, As, Ge, pBN, B2O3. www.kymatech.com

semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020 www.semiconductor-today.com


Suppliers’ Directory 89
MARUWA CO LTD
3-83, Minamihonjigahara-cho, 4 Epiwafer foundry 5 Deposition
Owariasahi, Aichi 488-0044,
Spire Semiconductor LLC
materials
Japan
Tel: +81 572 52 2317 25 Sagamore Park Drive,
Akzo Nobel
www.maruwa-g.com/e/ Hudson, NH 03051,
High Purity
products/ceramic USA
Metalorganics
Tel: +1 603 595 8900
www.akzonobel.com/hpmo
sp3 Diamond Technologies Fax: +1 603 595 0975
Asia Pacific:
2220 Martin Avenue, www.spirecorp.com
Akzo Nobel (Asia) Co Ltd,
Santa Clara, CA 95050, Shanghai,
USA Albemarle Cambridge Chemical Ltd
China
Tel: +1 877 773 9940 Unit 5 Chesterton Mills,
Tel. +86 21 2216 3600
Fax: +1 408 492 0633 French’s Road,
Fax: +86 21 3360 7739
www.sp3inc.com Cambridge CB4 3NP,
metalorganicsAP@akzonobel.com
UK
Americas:
Tel: +44 (0)1223 352244
Sumitomo Electric AkzoNobel Functional Chemicals,
Fax: +44 (0)1223 352444
Semiconductor Materials Inc Chicago,
www.camchem.co.uk
7230 NW Evergreen Parkway, USA
Hillsboro, OR 97124, Tel. +31 800 828 7929 (US only)
Intelligent Epitaxy Technology Inc
USA Tel: +1 312 544 7000
1250 E Collins Blvd,
Tel: +1 503 693 3100 x207 Fax: +1 312 544 7188
Richardson,
Fax: +1 503 693 8275 metalorganicsNA@akzonobel.com
TX 75081-2401,
www.sesmi.com Europe, Middle East and Africa:
USA
AkzoNobel Functional Chemicals,
Tel: +1 972 234 0068
III/V-Reclaim Amersfoort,
Fax: +1 972 234 0069
Wald 10, The Netherlands
www.intelliepi.com
84568 Pleiskirchen, Tel. +31 33 467 6656
Germany Fax: +31 33 467 6101
IQE
Tel: +49 8728 911 093 metalorganicsEU@akzonobel.com
Cypress Drive,
Fax: +49 8728 911 156
St Mellons, Cardiff
www.35reclaim.de
CF3 0EG, Cambridge Chemical Company Ltd
III/V-Reclaim offers reclaim Unit 5 Chesterton Mills,
UK
(recycling) of GaAs and InP wafers, French’s Road,
Tel: +44 29 2083 9400
removing all kinds of layers and Cambridge CB4 3NP,
Fax: +44 29 2083 9401
structures from customers’ wafers. UK
www.iqep.com
All formats and sizes can be Tel: +44 (0)1223 352244
IQE is a leading global supplier of
handled. The firm offers single-side Fax: +44 (0)1223 352444
advanced epiwafers, with products
and double-side-polishing and www.camchem.co.uk
covering a diverse range of
ready-to-use surface treatment.
applications within the wireless,
optoelectronic, photovoltaic and Dow Electronic Materials
Umicore Electro-Optic Materials electronic markets. 60 Willow Street,
Watertorenstraat 33, North Andover, MA 01845,
B-2250 Olen, OMMIC USA
Belgium 2, Chemin du Moulin B.P. 11, Tel: +1 978 557 1700
Tel: +32-14 24 53 67 Limeil-Brevannes, 94453, Fax: +1 978 557 1701
Fax: +32-14 24 58 00 France www.metalorganics.com
www.substrates.umicore.com Tel: +33 1 45 10 67 31
Fax: +33 1 45 10 69 53 Matheson Tri-Gas
Wafer World Inc www.ommic.fr 6775 Central Avenue,
1100 Technology Place, Suite 104, Newark, CA 94560,
West Palm Beach, Soitec USA
FL 33407, Place Marcel Rebuffat, Parc de Tel: +1 510 793 2559
USA Villejust, 91971 Courtabouef, Fax: +1 510 790 6241
Tel: +1-561-842-4441 France www.mathesontrigas.com
Fax: +1-561-842-2677 Tel: +33 (0)1 69 31 61 30
E-mail: sales@waferworld.com Fax: +33 (0)1 69 31 61 79 Mining & Chemical Products Ltd
www.waferworld.com www.picogiga.com (see section 1 for full contact details)

www.semiconductor-today.com semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020


90 Suppliers’ Directory
Praxair Electronics Ferrotec-
542 Route 303, Orangeburg, Temescal
NY 10962, 4569-C Las Veeco is a world-leading supplier of
USA Positas Rd, compound semiconductor equipment,
Tel: +1 845 398 8242 Livermore, and the only company offering both
Fax: +1 845 398 8304 CA 94551, MOCVD and MBE solutions. With
www.praxair.com/electronics complementary AFM technology and
USA
Tel: +1 925 245 5817 the industry’s most advanced Process
SAFC Hitech Fax: +1 925 449-4096 Integration Center, Veeco tools help
Power Road, Bromborough, www.temescal.net grow and measure nanoscale devices
Wirral, Merseyside CH62 3QF, Temescal, the expert in in worldwide LED/wireless, data
UK metallization systems for the storage, semiconductor and scientific
Tel: +44 151 334 2774 processing of compound research markets—offering important
Fax: +44 151 334 6422 semiconductor-based substrates, choices, delivering ideal solutions.
www.safchitech.com provides the finest evaporation
systems available. Multi-layer
Materion Advanced Materials coatings of materials such as 7 Wafer processing
Group Ti, Pt, Au, Pd, Ag, NiCr, Al, Cr, Cu, materials
2978 Main Street, Mo, Nb, SiO2, with high uniformity
Buffalo, NY 14214, are guaranteed. Today the world’s Air Products and Chemicals Inc
USA most sophisticated handsets, 7201 Hamilton Blvd.,
Tel: +1 716 837 1000 optical, wireless and telecom Allentown, PA 18195, USA
Fax: +1 716 833 2926 systems rely on millions of Tel: +1 610 481 4911
www.williams-adv.com devices that are made using www.airproducts.com/compound
Temescal deposition systems and
components.
6 Deposition MicroChem Corp
1254 Chestnut St. Newton,
equipment Plasma-Therm LLC MA 02464, USA
10050 16th Street North, Tel: +1 617 965 5511
AIXTRON SE St. Petersburg, FL 33716, Fax: +1 617 965 5818
Dornkaulstr. 2, USA www.microchem.com
52134 Herzogenrath, Tel: +1 727 577 4999
Germany Fax: +1 727 577 7035 Praxair Electronics
Tel: +49 2407 9030 0 www.plasmatherm.com (see section 5 for full contact details)
Fax: +49 2407 9030 40
www.aixtron.com Riber
31 rue Casimir Périer, BP 70083, 8 Wafer processing
95873 Bezons Cedex, equipment
AIXTRON is a leading provider of France
deposition equipment to the Tel: +33 (0) 1 39 96 65 00
EV Group
semiconductor industry. The Fax: +33 (0) 1 39 47 45 62
DI Erich Thallner Strasse 1,
company’s technology solutions are www.riber.com
St. Florian/Inn, 4782,
used by a diverse range of customers
Austria
worldwide to build advanced SVT Associates Inc
Tel: +43 7712 5311 0
components for electronic and 7620 Executive Drive,
Fax: +43 7712 5311 4600
optoelectronic applications Eden Prairie, MN 55344,
www.EVGroup.com
(photonic) based on compound, USA
silicon, or organic semiconductor Tel: +1 952 934 2100
materials and, more recently, Logitech Ltd
Fax: +1 952 934 2737
Erskine Ferry Road,
carbon nanotubes (CNT), graphene www.svta.com Old Kilpatrick,
and other nanomaterials.
near Glasgow G60 5EU,
Veeco Instruments Inc Scotland, UK
Evatec AG 100 Sunnyside Blvd., Tel: +44 (0) 1389 875 444
Hauptstrasse 1a, Woodbury, NY 11797, Fax: +44 (0) 1389 879 042
CH-9477 Trübbach, Switzerland USA www.logitech.uk.com
Tel: +41 81 403 8000 Tel: +1 516 677 0200
Fax: +41 81 403 8001 Fax: +1 516 714 1231 Plasma-Therm LLC
www.evatecnet.com www.veeco.com (see section 6 for full contact details)

semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020 www.semiconductor-today.com


Suppliers’ Directory 91
SAMCO International Inc CS CLEAN SOLUTIONS AG LayTec AG
532 Weddell Drive, Fraunhoferstrasse 4, Seesener Str.
Sunnyvale, CA, Ismaning, 85737, 10–13,
USA Germany 10709 Berlin,
Tel: +1 408 734 0459 Tel: +49 89 96 24000 Germany
Fax: +1 408 734 0961 Fax: +49 89 96 2400122 Tel: +49 30 89 00 55 0
www.samcointl.com www.csclean.com Fax: +49 30 89 00 180
www.laytec.de
SPTS Technology Ltd SAES Pure Gas Inc LayTec develops and manufactures
Ringland Way, Newport NP18 2TA, 4175 Santa Fe Road, optical in-situ and in-line metrology
UK San Luis Obispo, systems for thin-film processes
Tel: +44 (0)1633 414000 CA 93401, with particular focus on compound
Fax: +44 (0)1633 414141 USA semiconductor and photovoltaic
www.spts.com Tel: +1 805 541 9299 applications. Its know-how is
Fax: +1 805 541 9399 based on optical techniques:
SUSS MicroTec AG www.saesgetters.com reflectometry, emissivity corrected
Schleißheimer Strasse 90, pyrometry, curvature
85748 Garching, measurements and reflectance
Germany
11 Process monitoring anisotropy spectroscopy.
Tel: +49 89 32007 0 and control
Fax: +49 89 32007 162 WEP (Ingenieurbüro Wolff
www.suss.com Conax Technologies für Elektronik- und
2300 Walden Avenue, Programmentwicklungen)
Veeco Instruments Inc Buffalo, NY 14225, Bregstrasse 90,
(see section 6 for full contact details) USA D-78120 Furtwangen im
Tel: +1 800 223 2389 Schwarzwald,
Tel: +1 716 684 4500 Germany
9 Materials & metals E-mail: conax@conaxtechnologies.com Tel: +49 7723 9197 0
Fax: +49 7723 9197 22
Goodfellow Cambridge Ltd www.wepcontrol.com
Ermine Business Park,
Huntingdon, www.conaxtechnologies.com
Cambridgeshire PE29 6WR, Conax Technologies is a designer
12 Inspection equipment
UK and manufacturer of standard and
Tel: +44 (0) 1480 424800 Bruker AXS GmbH
custom-engineered temperature
Fax: +44 (0) 1480 424900 sensors, compression seal fittings Oestliche Rheinbrueckenstrasse 49,
www.goodfellow.com and feedthroughs, probes, wires, Karlsruhe, 76187,
electrodes and fiber-optic cables. Germany
The company is headquartered in Tel: +49 (0)721 595 2888
Goodfellow supplies small Buffalo, New York, with locations on Fax: +49 (0)721 595 4587
quantities of metals and materials the US West Coast, Canada, Europe www.bruker-axs.de
for research, development, and Asia.
prototyping and specialised
manufacturing operations. k-Space Associates Inc
13 Characterization
2182 Bishop Circle equipment
East, Dexter, MI 48130,
10 Gas and liquid USA J.A. Woollam Co. Inc.
handling equipment Tel: +1 734 426 7977 645 M Street Suite 102,
Fax: +1 734 426 7955 Lincoln, NE 68508, USA
Air Products and Chemicals Inc www.k-space.com Tel: +1 402 477 7501
(see section 7 for full contact details) Fax: +1 402 477 8214
KLA-Tencor www.jawoollam.com
Cambridge Fluid Systems One Technology Dr,
12 Trafalgar Way, Bar Hill, 1-2221I, Milpitas, Lake Shore Cryotronics Inc
Cambridge CB3 8SQ, CA 95035, 575 McCorkle Boulevard,
UK USA Westerville, OH 43082, USA
Tel: +44 (0)1954 786800 Tel: +1 408 875 3000 Tel: +1 614 891 2244
Fax: +44 (0)1954 786818 Fax: +1 408 875 4144 Fax: +1 614 818 1600
www.cambridge-fluid.com www.kla-tencor.com www.lakeshore.com

www.semiconductor-today.com semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020


92 Suppliers’ Directory
Palomar Technologies Inc
14 Chip test equipment 2728 Loker Avenue West, 20 Facility consumables
Carlsbad, CA 92010,
Keithley Instruments Inc USA W.L. Gore & Associates
28775 Aurora Road, Tel: +1 760 931 3600 401 Airport Rd, Elkton,
Cleveland, OH 44139, USA Fax: +1 760 931 5191 MD 21921-4236,
Tel: +1 440.248.0400 www.PalomarTechnologies.com USA
Fax: +1 440.248.6168 Tel: +1 410 392 4440
www.keithley.com TECDIA Inc Fax: +1 410 506 8749
2700 Augustine Drive, Suite 110, www.gore.com

15 Assembly/packaging Santa Clara, CA 95054,


USA
materials Tel: +1 408 748 0100
21 Computer hardware
Fax: +1 408 748 0111 & software
ePAK International Inc www.tecdia.com
4926 Spicewood Springs Road, Ansoft Corp
Austin, TX 78759, 4 Station Square,
USA 17 Assembly/packaging Suite 200,
Tel: +1 512 231 8083 foundry Pittsburgh, PA 15219,
Fax: +1 512 231 8183 USA
www.epak.com Tel: +1 412 261 3200
Quik-Pak
10987 Via Frontera, Fax: +1 412 471 9427
Gel-Pak
San Diego, CA 92127, www.ansoft.com
31398 Huntwood Avenue,
USA
Hayward, CA 94544, USA
Tel: +1 858 674 4676 Crosslight Software Inc
Tel: +1 510 576 2220
Fax: +1 8586 74 4681 121-3989 Henning Dr.,
Fax: +1 510 576 2282
www.quikicpak.com Burnaby, BC, V5C 6P8,
www.gelpak.com
Canada
Tel: +1 604 320 1704
Wafer World Inc 18 Chip foundry Fax: +1 604 320 1734
(see section 3 for full contact details)
www.crosslight.com
Compound Semiconductor
Materion Advanced Materials Technologies Ltd Semiconductor Technology
Group Block 7, Kelvin Campus, Research Inc
2978 Main Street, West of Scotland, Glasgow, 10404 Patterson Ave.,
Buffalo, NY 14214, Scotland G20 0TH, Suite 108, Richmond, VA 23238,
USA UK USA
Tel: +1 716 837 1000 Tel: +44 141 579 3000 Tel: +1 804 740 8314
Fax: +1 716 833 2926 Fax: +44 141 579 3040 Fax: +1 804 740 3814
www.williams-adv.com www.compoundsemi.co.uk
www.semitech.us

United Monolithic Semiconductors


16 Assembly/packaging Route departementale 128, 22 Used equipment
equipment BP46, Orsay, 91401,
France Class One Equipment Inc
Ismeca Europe Semiconductor SA Tel: +33 1 69 33 04 72 5302 Snapfinger Woods Drive,
Helvetie 283, La Chaux-de-Fonds, Fax: +33 169 33 02 92 Decatur, GA 30035,
2301, Switzerland www.ums-gaas.com USA
Tel: +41 329257111 Tel: +1 770 808 8708
Fax: +41 329257115 Fax: +1 770 808 8308
www.ismeca.com 19 Facility equipment www.ClassOneEquipment.com

Kulicke & Soffa Industries MEI, LLC


1005 Virginia Drive, 3474 18th Avenue SE, 23 Services
Fort Washington, PA 19034, Albany, OR 97322-7014,
USA USA Henry Butcher International
Tel: +1 215 784 6000 Tel: +1 541 917 3626 Brownlow House, 50–51
Fax: +1 215 784 6001 Fax: +1 541 917 3623 High Holborn, London WC1V 6EG,
www.kns.com www.marlerenterprises.net UK

semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020 www.semiconductor-today.com


Suppliers’ Directory 93
Tel: +44 (0)20 7405 8411 78460 Choisel, SEMI Global Headquarters
Fax: +44 (0)20 7405 9772 France 3081 Zanker Road,
www.henrybutcher.com Tel: + 33 (0)1 30 47 29 03 San Jose,
E-mail: jean-luc.ledys@neuf.fr CA 95134,
M+W Zander Holding AG USA
Lotterbergstrasse 30, Tel: +1 408 943 6900
Stuttgart, Germany
25 Resources Fax: +1 408 428 9600
Tel: +49 711 8804 1141 Al Shultz Advertising www.semi.org
Fax: +49 711 8804 1950 Marketing for Advanced
www.mw-zander.com Technology Companies Yole Développement
1346 The Alameda, 45 rue Sainte Geneviève,
24 Consulting 7140 San Jose, CA 95126, 69006 Lyon,
USA France
Fishbone Consulting SARL Tel: +1 408 289 9555 Tel: +33 472 83 01 86
8 Rue de la Grange aux Moines, www.alshuktz.com www.yole.fr

REGISTER
for Semiconductor Today
free at
www.semiconductor-today.com

www.semiconductor-today.com semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020


94
event calendar
If you would like your event listed in Semiconductor Today’s Event Calendar,
then please e-mail all details to the Editor at mark@semiconductor-today.com
15–17 April 2020 — POSTPONED 7–8 May 2020 — POSTPONED
EPIC Annual General Meeting 2020 EPIC Meeting on Nanophotonics for
Radisson Blu Hotel Lietuva, Vilnius, Lithuania Communication, Sensing and Data
E-mail: neringa.norbutaite@epic-assoc.com Processing at Nanoscribe
www.epic-assoc.com/epic-annual-general-meeting-2020 Karlsruhe, Germany
E-mail: neringa.norbutaite@epic-assoc.com
21–23 April 2020 — CANCELLED www.epic-assoc.com/epic-events
24th Annual Components for Military &
Space Electronics Conference & Exhibition 10–15 May 2020
(CMSE 2020) 2020 Conference on Lasers & Electro-Optics
Four Points by Sheraton (LAX), Los Angeles, CA, USA (CLEO)
E-mail: info@tjgreenllc.com San Jose Convention Center, San Jose, CA, USA
www.tjgreenllc.com/cmse E-mail: CLEO@compusystems.com
www.cleoconference.org
26–29 April 2020 — POSTPONED
2nd International Conference on UV LED 11–14 May 2020 — CANCELLED
Technologies & Applications (ICULTA 2020) CS MANTECH:
MELIÃ Hotel, Berlin, Germany 2020 International Conference on
E-mail: contact@iculta.com Compound Semiconductor Manufacturing
www.ICULTA.com Technology
JW Marriott Starr Pass, Tucson, AZ, USA
28 April – 30 May 2020 E-mail: registration@csmantech.org
(postponed from 29 March – 2 April 2020) www.csmantech.org
2020 IEEE International Reliability Physics
Symposium (IRPS) 17–21 May 2020 — POSTPONED
Now online (rather than Hilton DFW Lakes Executive 32nd International Symposium on Power
Conference Center, Dallas, TX, USA) Semiconductor Devices and ICs (ISPSD 2020)
E-mail: IRPSreg@ieee.org Hofburg Palace, Vienna, Austria
www.irps.org E-mail: ispsd2020@guarant.cz
www.ispsd2020.com
4–6 May 2020
16th International Conference on 14–19 June 2020
Concentrator Photovoltaic Systems 20th International Conference on Metal
(CPV-16) — CANCELLED (to be held online) Organic Vapor Phase Epitaxy (ICMOVPE XX)
Golden, near Denver, CO, USA Stuttgart/Ulm, Germany
E-mail: info@cpv-16.org E-mail: info@icmovpexx.eu
www.cpv-16.org www.icmovpexx.eu

advertisers’ index
Advertiser Page no. Advertiser Page no.

Applied Energy Systems 41 Fuji Electric 31


CS Clean Solutions 30 IQE 5
Evatec 17, 19, 21 k-Space 39
EV Group 0, 2 LayTec 27

semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020 www.semiconductor-today.com


Event Calendar 95

21–26 June 2020 7–11 September 2020


Microwave Week, 22nd European Conference on Power
including: Electronics and Applications
IEEE MTT-S International Microwave (EPE 2020 ECCE Europe)
Symposium (IMS 2020) Lyon, France
Radio Frequency Integrated Circuits E-mail: info@epe2020.com
Symposium (RFIC 2020) www.epe2020.com
Automatic Radio-Frequency Techniques
Group Conference (ARFTG) 9–11 September 2020
Los Angeles, CA, USA 22nd China International Optoelectronic
E-mail: e.niehenke@ieee.org Exposition (CIOE 2020)
www.ims-ieee.org Shenzhen World Exhibition & Convention Center,
Shenzhen, China
21–23 July 2020 E-mail: cioe@cioe.cn
SEMICON West 2020 www.cioe.cn/en
Moscone Center, San Francisco, CA, USA
E-mail: semiconwest@semi.org 13–18 September 2020
www.semiconwest.org 23rd European Microwave Week (EuMW 2020)
Utrecht, The Netherlands
22–25 July 2020 E-mail: eumwreg@itnint.com
International Congress on Advanced www.eumweek.com
Materials Sciences & Engineering
(AMSE-2020) 20–24 September 2020
Vienna, Austria 46th European Conference on Optical
E-mail: eve@istci.org Communication (ECOC 2020)
www.istci.org/amse2020 Brussels Expo,
Brussels, Belgium
28–30 July 2020 (postponed from 5–7 May) E-mail: info@ecoc2020.org
PCIM Europe 2020 (Power Conversion and www.ecoco2020.org
Intelligent Motion)
Nuremberg Exhibition Centre (Messe Nürnberg), 10–13 November 2020
Germany SEMICON Europa 2020
E-mail: pcim@mesago.com Munich, Germany
https://pcim.mesago.com/nuernberg/en.html E-mail: SEMICONEuropa@semi.org
www.semiconeuropa.org
23–27 August 2020
SPIE Optics + Photonics 2020 6–8 December 2020
San Diego Convention Center, 2020 IEEE 51st Semiconductor Interface
San Diego, CA, USA Specialists Conference (SISC)
E-mail: customerservice@spie.org San Diego, CA, USA
https://spie.org/Optics_Photonics E-mail: mpasslack@ieeesisc.org
www.ieeesisc.org
23–28 August 2020
International Workshop on Nitride 14–16 December 2020
Semiconductors (IWN 2020) IEEE International Electron Devices Meeting
Maritim Hotel Berlin, Germany (IEDM 2020)
E-mail: iwn2020@conventus.de Hilton San Francisco and Towers,
www.iwn2020.org San Francisco, CA, USA
E-mail: info@ieee-iedm.org
23–28 August 2020 www.ieee.org/conference/iedm
9th International Conference on Optical,
Optoelectronic and Photonic Materials and 17–19 December 2020
Applications (ICOOPMA) SEMICON Japan 2020
University of Pardubice, Czech Republic Tokyo Big Sight, Tokyo, Japan
E-mail: info@icoopma.com E-mail: semicon@sakurain.co.jp
www.icoopma.com www.semiconjapan.org/en

www.semiconductor-today.com semiconductor TODAY Compounds&AdvancedSilicon • Vol. 15 • Issue 2 • March 2020


www.semiconductor-today.com
Join our LinkedIn group: Semiconductor Today

Follow us on Twitter: Semiconductor_T

Choose Semiconductor Today for . . .

semiconductorTODAY
ISSN 1752-2935 (online)

C O M P O U N D S &
Vol.7 • Issue 2 • March/April 2012
A D VA N C E D S I L I C O N
www.semiconductor-today.com
MAGAZINE
Efficiency droop in
nitride & phosphide LEDs Accurate and timely coverage of the compound semiconductor
First single-crystal and advanced silicon industries
gallium oxide FET

Targeted 82,000+ international circulation

Published 10 times a year and delivered by e-mail and RSS feeds

Graphensic spun off • Emcore sells VCSEL range to Sumitomo


Masimo buys Spire Semiconductor• Oclaro and Opnext merge

WEB SITE

Average of over 26,000 unique visitors to the site each month

Daily news updates and regular feature articles

Google-listed news source

E-BRIEF

Weekly round-up of key business and technical news

E-mail delivery to entire circulation

Banner and text marketing opportunities available

You might also like

pFad - Phonifier reborn

Pfad - The Proxy pFad of © 2024 Garber Painting. All rights reserved.

Note: This service is not intended for secure transactions such as banking, social media, email, or purchasing. Use at your own risk. We assume no liability whatsoever for broken pages.


Alternative Proxies:

Alternative Proxy

pFad Proxy

pFad v3 Proxy

pFad v4 Proxy