Fpga User Guide
Fpga User Guide
Synopsys
Synplify Pro for Microchip
User Guide
January 2024
Disclaimer
SYNOPSYS, INC., AND ITS LICENSORS MAKE NO WARRANTY OF ANY
KIND, EXPRESS OR IMPLIED, WITH REGARD TO THIS MATERIAL,
INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF
MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
2 Synopsys Confidential Information January 2024
Preface
Trademarks
Synopsys and certain Synopsys product names are trademarks of Synopsys,
as set forth at
http://www.synopsys.com/Company/Pages/Trademarks.aspx.
All other product or company names may be trademarks of their respective
owners.
Third-Party Links
Any links to third-party websites included in this document are for your
convenience only. Synopsys does not endorse and is not responsible for such
websites and their practices, including privacy practices, availability, and
content.
Synopsys, Inc.
690 East Middlefield Road
Mountain View, CA 94043
www.synopsys.com
January 2024
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 3
Preface
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
4 Synopsys Confidential Information January 2024
Contents
Chapter 1: Introduction
Synopsys FPGA and Prototyping Products . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16
FPGA Implementation Tools . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16
Synphony Model Compiler . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18
Rapid Prototyping . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19
Starting the Synthesis Tool . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20
Logic Synthesis Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22
Synthesizing Your Design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24
User Interface Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 5
Chapter 4: Setting Up a Logic Synthesis Project
Setting Up Project Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 60
Creating a Project File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 60
Opening an Existing Project File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 63
Making Changes to a Project . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 64
Setting Project View Display Preferences . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 65
Updating Verilog Include Paths in Older Project Files . . . . . . . . . . . . . . . . . . . . 68
Managing Project File Hierarchy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 70
Creating Custom Folders . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 70
Manipulating Custom Project Folders . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 73
Manipulating Custom Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 74
Setting Up Implementations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 76
Setting Logic Synthesis Implementation Options . . . . . . . . . . . . . . . . . . . . . . . . . . . 78
Setting Device Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 78
Setting Optimization Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 81
Specifying Global Frequency and Constraint Files . . . . . . . . . . . . . . . . . . . . . . 83
Specifying Result Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 85
Specifying Timing Report Output . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 87
Setting Verilog and VHDL Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 87
Specifying Attributes and Directives . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 93
Specifying Attributes and Directives in VHDL . . . . . . . . . . . . . . . . . . . . . . . . . . 93
Specifying Attributes and Directives in Verilog . . . . . . . . . . . . . . . . . . . . . . . . . . 95
Specifying Attributes Using the SCOPE Editor . . . . . . . . . . . . . . . . . . . . . . . . . 96
Specifying Attributes in the Constraints File . . . . . . . . . . . . . . . . . . . . . . . . . . . 100
Handling Properties with Attributes or Directives . . . . . . . . . . . . . . . . . . . . . . . 100
Searching Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 102
Identifying the Files to Search . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 103
Filtering the Files to Search . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 103
Initiating the Search . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 104
Search Results . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 104
Archiving Files and Projects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 105
Archive a Project . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 105
Un-Archive a Project . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 110
Copy a Project . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 114
Support for Hierarchical Include Paths . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 117
LO
Chapter 5: Specifying Constraints
Using the SCOPE Editor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 122
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
6 Synopsys Confidential Information January 2024
Creating Constraints in the SCOPE Editor . . . . . . . . . . . . . . . . . . . . . . . . . . . . 122
Creating Constraints With the FDC Template Command . . . . . . . . . . . . . . . . 126
Specifying SCOPE Constraints . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 128
Entering and Editing SCOPE Constraints . . . . . . . . . . . . . . . . . . . . . . . . . . . . 128
Setting Clock and Path Constraints . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 130
Defining Input and Output Constraints . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 132
Specifying Standard I/O Pad Types . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 133
Using the TCL View of SCOPE GUI . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 134
Guidelines for Entering and Editing Constraints . . . . . . . . . . . . . . . . . . . . . . . . 136
Specifying Timing Exceptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 139
Defining From/To/Through Points for Timing Exceptions . . . . . . . . . . . . . . . . . 139
Defining Multicycle Paths . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 143
Defining False Paths . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 144
Finding Objects with Tcl find and expand . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 145
Specifying Search Patterns for Tcl find . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 145
Refining Tcl Find Results with -filter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 147
Using the Tcl Find Command to Define Collections . . . . . . . . . . . . . . . . . . . . . 149
Using the Tcl expand Command to Define Collections . . . . . . . . . . . . . . . . . . 150
Checking Tcl find and expand Results . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 151
Using Tcl find and expand in Batch Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . 153
Using Collections . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 154
Creating and Using SCOPE Collections . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 155
Creating Collections using Tcl Commands . . . . . . . . . . . . . . . . . . . . . . . . . . . 157
Viewing and Manipulating Collections with Tcl Commands . . . . . . . . . . . . . . . 160
Converting SDC to FDC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 164
Using the SCOPE Editor (Legacy) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 165
Entering and Editing SCOPE Constraints (Legacy) . . . . . . . . . . . . . . . . . . . . . 167
Specifying SCOPE Timing Constraints (Legacy) . . . . . . . . . . . . . . . . . . . . . . . 168
Defining Input and Output Constraints (Legacy) . . . . . . . . . . . . . . . . . . . . . . . 178
Defining False Paths (Legacy) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 180
Using a Text Editor for Constraint Files (Legacy) . . . . . . . . . . . . . . . . . . . . . . . 181
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 7
Accessing Results Remotely . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 195
Analyzing Results Using the Log File Reports . . . . . . . . . . . . . . . . . . . . . . . . . 199
Using the Watch Window . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 199
Checking Resource Usage . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 201
Querying Metrics for a Design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 203
Handling Messages . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 205
Checking Results in the Message Viewer . . . . . . . . . . . . . . . . . . . . . . . . . . . . 205
Filtering Messages in the Message Viewer . . . . . . . . . . . . . . . . . . . . . . . . . . . 207
Filtering Messages from the Command Line . . . . . . . . . . . . . . . . . . . . . . . . . . 209
Automating Message Filtering with a Tcl Script . . . . . . . . . . . . . . . . . . . . . . . . 210
Log File Message Controls . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 213
Working with Downgradable Errors and Critical Warnings . . . . . . . . . . . . . . . . 216
Using Continue on Error . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 219
Using Continue on Error for Compile Point Synthesis . . . . . . . . . . . . . . . . . . . 219
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
8 Synopsys Confidential Information January 2024
Viewing Design Hierarchy and Context . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 272
Filtering Schematics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 277
Expanding Pin and Net Logic . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 279
Dissolving and Partial Dissolving of Buses and Pins . . . . . . . . . . . . . . . . . . . . 285
Dissolving of Ports . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 288
Flattening Schematic Hierarchy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 289
Using the FSM Viewer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 291
Working in the Standard Schematic . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 295
Differentiating Between the HDL Analyst Views . . . . . . . . . . . . . . . . . . . . . . . . 296
Opening the Views . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 296
Viewing Object Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 297
Selecting Objects in the RTL/Technology Views . . . . . . . . . . . . . . . . . . . . . . . 302
Working with Multisheet Schematics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 303
Moving Between Views in a Schematic Window . . . . . . . . . . . . . . . . . . . . . . . 304
Setting Schematic Preferences . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 305
Managing Windows . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 306
Exploring Design Hierarchy (Standard) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 308
Traversing Design Hierarchy with the Hierarchy Browser . . . . . . . . . . . . . . . . 308
Exploring Object Hierarchy by Pushing/Popping . . . . . . . . . . . . . . . . . . . . . . . 309
Exploring Object Hierarchy of Transparent Instances . . . . . . . . . . . . . . . . . . . 314
Finding Objects (Standard) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 316
Browsing to Find Objects in HDL Analyst Views . . . . . . . . . . . . . . . . . . . . . . . 316
Using Find for Hierarchical and Restricted Searches . . . . . . . . . . . . . . . . . . . . 318
Using Wildcards with the Find Command . . . . . . . . . . . . . . . . . . . . . . . . . . . . 321
Combining Find with Filtering to Refine Searches . . . . . . . . . . . . . . . . . . . . . . 325
Using Find to Search the Output Netlist . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 326
Crossprobing (Standard) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 329
Crossprobing within an RTL/Technology View . . . . . . . . . . . . . . . . . . . . . . . . . 329
Crossprobing from the RTL/Technology View . . . . . . . . . . . . . . . . . . . . . . . . . 330
Crossprobing from the Text Editor Window . . . . . . . . . . . . . . . . . . . . . . . . . . . 331
Crossprobing from the Tcl Script Window . . . . . . . . . . . . . . . . . . . . . . . . . . . . 334
Crossprobing from the FSM Viewer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 334
Analyzing With the Standard HDL Analyst Tool . . . . . . . . . . . . . . . . . . . . . . . . . . . 336
Viewing Design Hierarchy and Context . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 337
Filtering Schematics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 340
Expanding Pin and Net Logic . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 342
Expanding and Viewing Connections . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 346
Flattening Schematic Hierarchy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 347
Minimizing Memory Usage While Analyzing Designs . . . . . . . . . . . . . . . . . . . 351
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 9
Using the FSM Viewer (Standard) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 353
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
10 Synopsys Confidential Information January 2024
Retiming Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 408
Retiming Report . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 409
How Retiming Works . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 410
Preserving Objects from Being Optimized Away . . . . . . . . . . . . . . . . . . . . . . . . . . 413
Using syn_keep for Preservation or Replication . . . . . . . . . . . . . . . . . . . . . . . 414
Controlling Hierarchy Flattening . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 416
Preserving Hierarchy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 417
Optimizing Fanout . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 418
Setting Fanout Limits . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 418
Controlling Buffering and Replication . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 420
Sharing Resources . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 422
Inserting I/Os . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 423
Optimizing State Machines . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 424
Deciding when to Optimize State Machines . . . . . . . . . . . . . . . . . . . . . . . . . . . 424
Running the FSM Compiler . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 425
Running the FSM Explorer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 429
Inserting Probes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 432
Specifying Probes in the Source Code . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 432
Adding Probe Attributes Interactively . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 433
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 11
Setting Constraints at the Compile Point Level . . . . . . . . . . . . . . . . . . . . . . . . 465
Analyzing Compile Point Results . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 467
Using Automatic and Manual Compile Points Together . . . . . . . . . . . . . . . . . . 469
Using Compile Points with Other Features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 470
Combining Compile Points with Multiprocessing . . . . . . . . . . . . . . . . . . . . . . . 470
Resynthesizing Incrementally . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 471
Resynthesizing Compile Points Incrementally . . . . . . . . . . . . . . . . . . . . . . . . . 471
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
12 Synopsys Confidential Information January 2024
Tcl Script Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 519
Automating Flows with synhooks.tcl . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 525
synhooks File Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 527
Invoking Third-Party Vendor Tools . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 530
Configuring Tool Tags . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 530
Invoking a Third-Party Tool . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 531
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 13
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
14 Synopsys Confidential Information January 2024
CHAPTER 1
Introduction
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 15
Chapter 1: Introduction Synopsys FPGA and Prototyping Products
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
16 Synopsys Confidential Information January 2024
Synopsys FPGA and Prototyping Products Chapter 1: Introduction
The Synplify Premier product offers both FPGA designers and ASIC proto-
typers targeting single FPGAs with the most efficient method of design imple-
mentation and debug. On the design implementation side, it includes
functionality for timing closure, logic verification, IP usage, ASIC compati-
bility, and DSP implementation, as well as a tight integration with FPGA
vendor back-end tools. On the debug side, it provides for in-system verifi-
cation of FPGAs which dramatically accelerates the debug process, and also
includes a rapid and incremental method for finding elusive design problems.
The Synplify Premier product offers FPGA designers and ASIC prototypers,
targeting single FPGA-based prototypes, with the most efficient method of
design implementation and debug. The Synplify Premier software provides
in-system verification of FPGAs, dramatically accelerates the debug process,
and provides a rapid and incremental method for finding elusive design
problems. Features exclusively supported in the Synplify Premier tool are the
following:
• Design Planning (Optional)
• DesignWare Support
• Distributed Processing
• Unified Power Format (UPF)
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 17
Chapter 1: Introduction Synopsys FPGA and Prototyping Products
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
18 Synopsys Confidential Information January 2024
Synopsys FPGA and Prototyping Products Chapter 1: Introduction
Rapid Prototyping
The Certify® and Identify products are tightly integrated with the HAPS and
ChipIT® hardware tools.
Certify Product
The Certify software is the leading implementation and partitioning tool for
ASIC designers using FPGA-based prototypes to verify their designs. The tool
provides a quick and easy method for partitioning large ASIC designs into
multi-FPGA prototyping boards. Powerful features allow the tool to adapt
easily to existing device flows, therefore, speeding up the verification process
and helping with the time-to-market challenges. Key features include the
following:
• Graphical User Interface (GUI) Flow Guide
• Manual Partitioning
• Synopsys Design Constraints Support for Timing Management
• Multi-core Parallel Processing Support for Faster Runtimes
• Support for Most Current FPGA Devices
• Industry Standard Synplify Premier Synthesis Support
• Compatible with HAPS Boards Including HSTDM
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 19
Chapter 1: Introduction Starting the Synthesis Tool
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
20 Synopsys Confidential Information January 2024
Starting the Synthesis Tool Chapter 1: Introduction
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 21
Chapter 1: Introduction Logic Synthesis Overview
Logic Compilation
The synthesis tool first compiles input HDL source code, which describes the
design at a high level of abstraction, to known structural elements. Next, it
optimizes the design in two phases, making it as small as possible to improve
circuit performance. These optimizations are technology independent. The
final result is an srs database, which can be graphically represented in the
schematic view.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
22 Synopsys Confidential Information January 2024
Logic Synthesis Overview Chapter 1: Introduction
The following figure summarizes the stages of the standard compiler flow:
Technology Mapping
During this stage, the tool optimizes the logic for the target technology, by
mapping it to technology-specific components. It uses architecture-specific
techniques to perform additional optimizations. Finally, it generates a design
netlist for placement and routing.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 23
Chapter 1: Introduction Logic Synthesis Overview
The tool can also write VHDL and Verilog netlists after synthesis, which you
can simulate to verify functionality.
1. Access your design project: open an existing project or create a new one.
See Projects and Implementations, on page 26.
2. Specify the input source files to use. Right-click the project name in the
Project view, then choose Add Source Files.
– Select the desired Verilog, VHDL, or IP files, then click OK. (See the
examples in the directory installation_dir/examples, where installation_dir
is the directory where the product is installed.)
– You can also add source files in the Project view by dragging and
dropping them there from a Windows ® Explorer folder (Microsoft ®
Windows ® operating system only).
– Top-level file: The last file compiled is the top-level file. You can
designate a new top-level file by moving the desired file to the bottom
of the source files list in the Project view, or by using the Implementation
Options dialog box.
See SCOPE Tabs, on page 215, for details on the SCOPE spreadsheet.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
24 Synopsys Confidential Information January 2024
Logic Synthesis Overview Chapter 1: Introduction
BEST Algorithms
The Behavior Extracting Synthesis Technology (BEST ) feature is the under-
lying proprietary technology that the synthesis tool uses to extract and imple-
ment your design structures.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 25
Chapter 1: Introduction Logic Synthesis Overview
Projects contain information about the synthesis run, including the names of
design files, constraint files (if used), and other options you have set. A project
file (prj) is in Tcl format. It points to all the files you need for synthesis and
contains the necessary optimization settings. In the Project view, a project
appears as a folder.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
26 Synopsys Confidential Information January 2024
User Interface Overview Chapter 1: Introduction
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 27
Chapter 1: Introduction User Interface Overview
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
28 Synopsys Confidential Information January 2024
CHAPTER 2
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 29
Chapter 2: FPGA Synthesis Design Flows Logic Synthesis Design Flow
The following figure shows the phases and the tools used for logic synthesis
and some of the major inputs and outputs. The interactive timing analysis
step that is shown in gray is optional. Although the flow shows the vendor
constraint files as direct inputs to the P&R tool, you should add these files to
the synthesis project for timing black boxes.
1. Create a project.
LO
2. Add the source files to the project.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
30 Synopsys Confidential Information January 2024
Logic Synthesis Design Flow Chapter 2: FPGA Synthesis Design Flows
6. Analyze the results, using tools like the log file, the HDL Analyst
schematic views, the Message window and the Watch Window.
After you have completed the design, you can use the output files to run
place-and-route with the vendor tool and implement the FPGA.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 31
Chapter 2: FPGA Synthesis Design Flows Logic Synthesis Design Flow
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
32 Synopsys Confidential Information January 2024
CHAPTER 3
When you synthesize a design, you need to set up two kinds of files: HDL files
that describe your design, and project files to manage the design. This
chapter describes the procedures to set up these files and the project. It
covers the following:
• Setting Up HDL Source Files, on page 34
• Using Mixed Language Source Files, on page 48
• Using the Structural Verilog Flow, on page 53
• Working with Constraint Files, on page 55
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 33
Chapter 3: Preparing the Input Setting Up HDL Source Files
You can also use Verilog and VHDL files in the same design. For information
about using a mixture of Verilog and VHDL input files, see Using Mixed
Language Source Files, on page 48.
1. To create a new source file either click the HDL file icon ( ) or do the
following:
– Select File->New or press Ctrl-n.
– In the New dialog box, select the kind of source file you want to create,
Verilog or VHDL.
If you are using Verilog
LO 2001 format or SystemVerilog, make sure to
enable the Verilog 2001 or System Verilog option before you run synthesis
(Project->Implementation Options->Verilog tab). The default Verilog file
format for new projects is SystemVerilog.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
34 Synopsys Confidential Information January 2024
Setting Up HDL Source Files Chapter 3: Preparing the Input
– Type a name and location for the file and Click OK. A blank editing
window opens with line numbers on the left.
You can use the Context Help Editor for designs that contain Verilog,
SystemVerilog, or VHDL constructs in the source file. For more
information, see Using the Context Help Editor, on page 36.
2. Type the source information in the window, or cut and paste it. See
Editing HDL Source Files with the Built-in Text Editor, on page 39 for
more information on working in the Editing window.
For the best synthesis results, check the Reference manuals to ensure
that you are using the available HDL constructs and vendor-specific
attributes and directives effectively.
Once you have created a source file, you can check that you have the right
syntax, as described in Checking HDL Source Files, on page 38.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 35
Chapter 3: Preparing the Input Setting Up HDL Source Files
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
36 Synopsys Confidential Information January 2024
Setting Up HDL Source Files Chapter 3: Preparing the Input
2. When you select a construct in the left-side of the window, the online
help description for the construct is displayed. If the selected construct
has this feature enabled, the online help topic is displayed on the top of
the window and a generic code or command template for that construct
is displayed at the bottom.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 37
Chapter 3: Preparing the Input Setting Up HDL Source Files
3. The Insert Template button is also enabled. When you click the Insert
Template button, the code or command shown in the template window is
inserted into your file at the location of the cursor. This allows you to
easily insert the code or command and modify it for the design that you
are going to synthesize.
4. If you want to copy only parts of the template, select the code or
command you want to insert and click Copy. You can then paste it into
your file.
4. Review the errors by opening the syntax.log file when prompted and use
Find to locate the error message (search for @E). Double-click the
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
38 Synopsys Confidential Information January 2024
Setting Up HDL Source Files Chapter 3: Preparing the Input
5-character error code or click the message text and push F1 to display
online error message help.
6. Repeat steps 4 and 5 until all syntax and synthesis errors are corrected.
The Text Editor window opens and displays the source file. Lines are
numbered. Keywords are in blue, and comments in green. String values
are in red. If you want to change these colors, see Setting Editing
Window Preferences, on page 42.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 39
Chapter 3: Preparing the Input Setting Up HDL Source Files
This table summarizes common editing operations you might use. You
can also use the keyboard shortcuts instead of the commands.
To ... Do ...
Cut, copy, and paste; Select the command from the popup (hold down
undo, or redo an action the right mouse button) or Edit menu.
Go to a specific line Press Ctrl-g or select Edit->Go To, type the line
number, and click OK.
Find text Press Ctrl-f or select Edit ->Find. Type the text you
want to find, and click OK.
Replace text Press Ctrl-h or select Edit->Replace. Type the text you
want to find, and the text you want to replace it
with. Click OK.
Complete a keyword Type enough characters to uniquely identify the
keyword, and press Esc.
Indent text to the right Select the block, and press Tab.
Indent text to the left Select the block, and press Shift-Tab.
Change to upper case Select the text, and then select Edit->Advanced
->Uppercase or press Ctrl-Shift-u.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
40 Synopsys Confidential Information January 2024
Setting Up HDL Source Files Chapter 3: Preparing the Input
To ... Do ...
Change to lower case Select the text, and then select Edit->Advanced
->Lowercase or press Ctrl-u.
Add block comments Put the cursor at the beginning of the comment
text, and select Edit->Advanced->Comment Code or
press Alt-c.
Edit columns Press Alt, and use the left mouse button to select
the column. On some platforms, you have to use
the key to which the Alt functionality is mapped,
like the Meta or diamond key.
3. To cut and paste a section of a PDF document, select the T-shaped Text
Select icon, highlight the text you need and copy and paste it into your
file. The Text Select icon lets you select parts of the document.
4. To create and work with bookmarks in your file, see the following table.
To ... Do ...
Insert a Click anywhere in the line you want to bookmark.
bookmark Select Edit->Toggle Bookmarks, press Ctrl-F2, or select the
first icon in the Edit toolbar.
The line number is highlighted to indicate that there is a
bookmark at the beginning of that line.
Delete a Click anywhere in the line with the bookmark.
bookmark Select Edit->Toggle Bookmarks, press Ctrl-F2, or select the
first icon in the Edit toolbar.
The line number is no longer highlighted after the
bookmark is deleted.
Delete all Select Edit->Delete all Bookmarks, press Ctrl-Shift-F2, or select
bookmarks the last icon in the Edit toolbar.
The line numbers are no longer highlighted after the
bookmarks are deleted.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 41
Chapter 3: Preparing the Input Setting Up HDL Source Files
To ... Do ...
Navigate a file Use the Next Bookmark (F2) and Previous Bookmark (Shift-F2)
using commands from the Edit menu or the corresponding icons
bookmarks from the Edit toolbar to navigate to the bookmark
you want.
7. To crossprobe from the source code window to other views, open the
view and select the piece of code. See Crossprobing from the Text Editor
Window, on page 331 for details.
8. When you have fixed all the errors, select File->Save or click the Save icon
to save the file.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
42 Synopsys Confidential Information January 2024
Setting Up HDL Source Files Chapter 3: Preparing the Input
1. Select Options->Editor Options and either Synopsys Editor or External Editor. For
more information about the external editor, see Using an External Text
Editor, on page 44.
2. Then depending on the type of file you open, you can to set the
background, syntax coloring, and font preferences to use with the text
editor.
Note: Thereafter, text editing preferences you set for this file will apply
to all files of this file type.
The Text Editing window can be used to set preferences for project files,
source files (Verilog/VHDL), log files, Tcl files, constraint files, or other
default files from the Editor Options dialog box.
3. You can set syntax colors for some common syntax options, such as
keywords, strings, and comments. For example in the log file, warnings
and errors can be color-coded for easy recognition.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 43
Chapter 3: Preparing the Input Setting Up HDL Source Files
You can select basic colors or define custom colors and add them to
your custom color palette. To select your desired color click OK.
4. To set font and font size for the text editor, use the pull-down menus.
5. Check Keep Tabs to enable tab settings, then set the tab spacing using
the up or down arrow for Tab Size.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
44 Synopsys Confidential Information January 2024
Setting Up HDL Source Files Chapter 3: Preparing the Input
– From a Linux platform, for a text editor that does not create its own
window, do not use the ... Browse button. Instead, type gnome-terminal
-x editor. To use emacs for example, type gnome-terminal -x emacs.
The software has been tested with the emacs and vi text editors.
3. Click OK.
To do this:
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 45
Chapter 3: Preparing the Input Setting Up HDL Source Files
2. Specify the locations of the Library Directories for the Verilog library files to
be included in your design for the project.
Any library extensions can be specified, such as .av, .bv, .cv, .xxx, .va,
.vas (separate library extensions with a space).
The following figure shows you where to enter the library extensions on
the dialog box.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
46 Synopsys Confidential Information January 2024
Setting Up HDL Source Files Chapter 3: Preparing the Input
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 47
Chapter 3: Preparing the Input Using Mixed Language Source Files
1. Remember that Verilog does not support unconstrained VHDL ports and
set up the mixed language design files accordingly.
2. If you want to organize the Verilog and VHDL files in different folders,
select Options->Project View Options and toggle on the View Project Files in
Folders option.
When you add the files to the project, the Verilog and VHDL files are in
separate folders in the Project view.
3. When you open a project or create a new one, add the Verilog and VHDL
files as follows:
– Select the Project->Add Source File command or click the Add File button.
– On the form, set Files of Type to HDL Files (*.vhd, *.vhdl, *.v).
– Select the Verilog and VHDL files you want and add them to your
project. Click OK. For details about adding files to a project, see
Making Changes to a Project, on page 64.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
48 Synopsys Confidential Information January 2024
Using Mixed Language Source Files Chapter 3: Preparing the Input
The files you added are displayed in the Project view. This figure shows
the files arranged in separate folders.
4. When you set device options (Implementation Options button), specify the
top-level module. For more information about setting device options, see
Setting Logic Synthesis Implementation Options, on page 78.
– If the top-level module is Verilog, click the Verilog tab and type the
name of the top-level module.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 49
Chapter 3: Preparing the Input Using Mixed Language Source Files
– If the top-level module is VHDL, click the VHDL tab and type the name
of the top-level entity. If the top-level module is not located in the
default work library, you must specify the library where the compiler
can find the module. For information on how to do this, see VHDL
Panel, on page 360.
5. Select the Implementation Results tab on the same form and select one
output HDL format for the output files generated by the software. For
more information about setting device options, see Setting Logic
Synthesis Implementation Options, on page 78.
– For a Verilog output netlist, select Write Verilog Netlist.
– For a VHDL output netlist, select Write VHDL Netlist.
– Set any other device options and click OK.
LO
You can now synthesize your design. The software reads in the mixed
formats of the source files and generates a single srs file that is used for
synthesis.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
50 Synopsys Confidential Information January 2024
Using Mixed Language Source Files Chapter 3: Preparing the Input
An example of correct file order for a design that consists of one top module
(top.v) and two sub module files (module1.v and module2.v):
add_file -verilog -lib work module1.v
add_file -verilog -lib work module2.v
add_file -verilog -lib work top.v
For more information about the file order, see Ordering Input Files, on
page 68.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 51
Chapter 3: Preparing the Input Using Mixed Language Source Files
To avoid inferring a black box, the Verilog literal for the VHDL Boolean
generic set to TRUE must be 1’b1, not 1. Similarly, if the VHDL Boolean generic
is FALSE, the corresponding Verilog literal must be 1’b0, not 0. The following
example shows how to represent Boolean generics so that they correctly pass
the VHDL-Verilog boundary, without inferring a black box.
You can work around this by removing the bus width notation of [0:0] in the
Verilog files. You must use a VHDL generic of type integer because the other
types do not allow for the proper binding of the Verilog component.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
52 Synopsys Confidential Information January 2024
Using the Structural Verilog Flow Chapter 3: Preparing the Input
1. You must specify the structural Verilog files to include in your design.
To do this, add the file to the project using one of the following methods:
– Project->Add Source File or the Add File button in the Project view
– Tcl command: add_file -structver fileName
This flow can contain only structural Verilog files or mixed HDL files
(Verilog/VHDL/EDF/SRS) along with structural Verilog netlist files.
However, Verilog/VHDL/EDF/SRS instances are not supported within a
structural Verilog module.
2. The structural Verilog files are added to the Structural Verilog folder in the
Project view. You can also add files to this directory, when you perform
the following:
– Select the structural Verilog file.
– Right-click and select File Options.
– Choose Structural Verilog from the File Type drop-down menu.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 53
Chapter 3: Preparing the Input Using the Structural Verilog Flow
3. Run synthesis.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
54 Synopsys Confidential Information January 2024
Working with Constraint Files Chapter 3: Preparing the Input
However, if you have black box timing constraints like syn_tco, syn_tpd, and
syn_tsu, you must enter them as directives in the source code. Unlike attri-
butes, directives can only be added to the source code, not to constraint files.
See Specifying Attributes and Directives, on page 93 for more information on
adding directives to source code.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 55
Chapter 3: Preparing the Input Working with Constraint Files
– In Verilog modules, use the following syntax for instance, port, and
net names:
v:cell [prefix:]objectName
Where cell is the name of the design entity, prefix is a prefix to identify
objects with the same name, objectName is an instance path with the
dot (.) separator. The prefix can be any of the following:
n: Net names
– In VHDL modules, use the following syntax for instance, port, and net
names in VHDL modules:
i:statemod.statereg[*]
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
56 Synopsys Confidential Information January 2024
Working with Constraint Files Chapter 3: Preparing the Input
This command generates a report that checks the syntax and applica-
bility of the timing constraints in the FPGA synthesis constraint files for
your project. The report is written to the projectName_cck.rpt file and lists
the following information:
– Constraints that are not applied
– Constraints that are valid and applicable to the design
– Wildcard expansion on the constraints
– Constraints on objects that do not exist
For details on this report, see Constraint Checking Report, on page 173 of
the Reference Manual.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 57
Chapter 3: Preparing the Input Working with Constraint Files
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
58 Synopsys Confidential Information January 2024
CHAPTER 4
When you synthesize a design with the Synopsys FPGA synthesis tool, you
must set up a project for your design. The following describe the procedures
for setting up a project for logic synthesis:
• Setting Up Project Files, on page 60
• Managing Project File Hierarchy, on page 70
• Setting Up Implementations, on page 76
• Setting Logic Synthesis Implementation Options, on page 78
• Specifying Attributes and Directives, on page 93
• Searching Files, on page 102
• Archiving Files and Projects, on page 105
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 59
Chapter 4: Setting Up a Logic Synthesis Project Setting Up Project Files
The Project window shows a new project. Click the Add File button, press
Shift F4, or select the Project->Add Source File command. The Add Files to
Project dialog box opens.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
60 Synopsys Confidential Information January 2024
Setting Up Project Files Chapter 4: Setting Up a Logic Synthesis Project
– To add all the files in the directory at once, click the Add All button on
the right side of the form. To add files individually, click on the file in
the list and then click the Add button, or double-click the file name.
You can add all the files in the directory and then remove the ones
you do not need with the Remove button.
If you are adding VHDL files, select the appropriate library from the
VHDL Library popup menu. The library you select is applied to all VHDL
files when you click OK in the dialog box.
Your project window displays a new project file. If you click the plus sign
next to the project and expand it, you see the following:
– A folder (two folders for mixed language designs) with the source files.
If your files are not in a folder under the project directory, you can set
this preference by selecting Options->Project View Options and checking
the View Project Files in Type Folders box. This separates one kind of file
from another in the Project view by putting them in separate folders.
– The implementation, named rev_1 by default. Implementations are
revisions of your design within the context of the synthesis software,
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 61
Chapter 4: Setting Up a Logic Synthesis Project Setting Up Project Files
3. Add any libraries you need, using the method described in the previous
step to add the Verilog or VHDL library file.
– For vendor-specific libraries, add the appropriate library file to the
project. Note that for some families, the libraries are loaded
automatically and you do not need to explicitly add them to the
project file.
To add a third-party VHDL package library, add the appropriate vhd
file to the design, as described in step 2. Right click the file in the
Project view and select File Options, or select Project-> Set VHDL library.
Specify a library name that is compatible with the simulators. For
example, MYLIB. Make sure that this package library is before the
top-level design in the list of files in the Project view.
For information about setting Verilog and VHDL file options, see
Setting Verilog and VHDL Options, on page 87. You can also set these
file options later, before running synthesis. For additional
vendor-specific information about using vendor macro libraries and
black boxes, see Appendix
LO H, Designing with Microchip.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
62 Synopsys Confidential Information January 2024
Setting Up Project Files Chapter 4: Setting Up a Logic Synthesis Project
4. Check file order in the Project view. File order is important for all HDL
files.
– Make sure the files are ordered correctly. To re-order files from the UI,
drag files to their correct locations in the order. Alternatively, use the
add_file command in the project file to add the input files in the correct
sequence. See Ordering Input Files, on page 68 for details about the
order sequence for Verilog and VHDL files.
– In the Project view, check that the last file in the Project view is the
top-level source file. Alternatively, you can specify the top-level file
when you set the device options.
An example of correct file order for a design that consists of one top
module (top.v) and two sub module files (module1.v and module2.v):
add_file -verilog -lib work module1.v
add_file -verilog -lib work module2.v
add_file -verilog -lib work top.v
5. Select File->Save, type a name for the project, and click Save. The Project
window reflects your changes.
6. To close a project file, select the Close Project button or File->Close Project.
1. If the project you want to open is one you worked on recently, you can
select it directly: File->Recent Projects-> projectName.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 63
Chapter 4: Setting Up a Logic Synthesis Project Setting Up Project Files
1. To add source or constraint files to a project, select the Add Files button
or Project->Add Source File to open the Select Files to Add to Project dialog box.
See Creating a Project File, on page 60 for details.
2. To delete a file from a project, click the file in the Project window, and
press the Delete key.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
64 Synopsys Confidential Information January 2024
Setting Up Project Files Chapter 4: Setting Up a Logic Synthesis Project
4. To specify how project files are saved in the project, right-click on a file
in the Project view and select File Options. Set the Save File option to either
Relative to Project or Absolute Path.
5. To check the time stamp on a file, right-click on a file in the Project view
and select File Options. Check the time that the file was last modified.
Click OK.
1. Select Options->Project View Options. The Project View Options form opens.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 65
Chapter 4: Setting Up a Logic Synthesis Project Setting Up Project Files
Checking this option creates separate folders in the Project view for
constraint files and source files.
4. To view project files in customized custom folders, check View Project Files
in Custom Folders. For more information, see Creating Custom Folders, on
page 70. Type folders are only displayed if there are multiple types in a
custom folder.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
66 Synopsys Confidential Information January 2024
Setting Up Project Files Chapter 4: Setting Up a Logic Synthesis Project
5. To open more than one implementation in the same Project view, check
Allow Multiple Projects to be Opened.
7. To view file information, select the file in the Project view, right-click,
and select File Options. For example, you can check the date a file was
modified.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 67
Chapter 4: Setting Up a Logic Synthesis Project Setting Up Project Files
Follow this file order when specifying Verilog files for a design:
• For Verilog designs, list package files first because they are compiled
before use. After that, list the corresponding HDL files, and list the
top-level source file last.
• For SystemVerilog designs, ensure that package, macro, and component
files are listed first. Next add the files that instantiate the packages and
macros. For example:
– Package1.sv (package file)
– Test.sv (import Package1.sv)
– Top.sv (instantiates Test.sv)
Do the following to arrange files for mixed designs:
• Arrange Verilog/System Verilog files are described above
• Use the Run->Arrange VHDL option from the menu for VHDL files
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
68 Synopsys Confidential Information January 2024
Setting Up Project Files Chapter 4: Setting Up a Logic Synthesis Project
recent releases does not automatically upgrade the older PRJ files to conform
to the newer rules. To upgrade and use the old project file, do one of the
following:
• Manually edit the PRJ file in a text editor and add the following on the
line before each set_option -include_path:
set_option -project_relative_includes 1
• Start a new project with a newer version of the software and delete the
old project. This will make the new PRJ file obey the new rule where
includes are relative to the PRJ file.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 69
Chapter 4: Setting Up a Logic Synthesis Project Managing Project File Hierarchy
There are several ways to create custom folders and then add files to them in
a project. Use one of the following methods:
1. Right-click on a project file or another custom folder and select Add Folder
from the popup menu. Then perform any of the following file operations:
– Right-click on a file or
LOfiles and select Place in Folder. A sub-menu
displays so that you can either select an existing folder or create a
new folder.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
70 Synopsys Confidential Information January 2024
Managing Project File Hierarchy Chapter 4: Setting Up a Logic Synthesis Project
Note that you can arbitrarily name the folder, however, do not use the
character (/) because this is a hierarchy separator symbol.
– To rename a folder, right-click on the folder and select Rename from
the popup menu. The Rename Folder dialog box appears; specify a new
name.
2. Use the Add Files to Project dialog box to add the entire contents of a folder
hierarchy, and optionally place files into custom folders corresponding
to the OS folder hierarchies listed in the dialog box display.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 71
Chapter 4: Setting Up a Logic Synthesis Project Managing Project File Hierarchy
To use:
– Only the folder containing files for the folder name, click on Use OS
Folder Name.
– The path name to the selected folder to determine the level of
hierarchy reflected for the custom folder path.
3. You can drag and drop files and folders from an OS Explorer application
into the Project view. This feature is available on Windows and Linux
desktops running KDE.
– When you drag and drop a file, it is immediately added to the project.
If no project is open, the software creates a project.
– When you drag and drop
LO a file over a folder, it will be placed in that
folder. Initially, the Add Files to Project dialog box is displayed asking
you to confirm the files to be added to the project. You can click OK to
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
72 Synopsys Confidential Information January 2024
Managing Project File Hierarchy Chapter 4: Setting Up a Logic Synthesis Project
accept the files. If you want to make changes, you can click the
Remove All button and specify a new filter or option.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 73
Chapter 4: Setting Up a Logic Synthesis Project Managing Project File Hierarchy
Suppose you want a single-level HDL hierarchy only, then drag and
drop RTL over the project. Thereafter, you can delete the
/Examples/Verilog directory.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
74 Synopsys Confidential Information January 2024
Managing Project File Hierarchy Chapter 4: Setting Up a Logic Synthesis Project
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 75
Chapter 4: Setting Up a Logic Synthesis Project Setting Up Implementations
Setting Up Implementations
An implementation is a version of a project, implemented with a specific set of
constraints and other settings. A project can contain multiple implementa-
tions, each one with its own settings.
The new implementation uses the same source code files, but different
device options and constraints. It copies some files from the previous
implementation: the tlg log file, the srs RTL netlist file, and the
design_fsm.sdc file generated by FSM Explorer. The software keeps a
repeatable history of the synthesis runs.
The Project view shows all implementations with the active implementa-
tion highlighted and theLO
corresponding output files generated for the
active implementation displayed in the Implementation Results view on
the right; changing the active implementation changes the output file
display. The Watch window monitors the active implementation. If you
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
76 Synopsys Confidential Information January 2024
Setting Up Implementations Chapter 4: Setting Up a Logic Synthesis Project
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 77
Chapter 4: Setting Up a Logic Synthesis Project Setting Logic Synthesis Implementation Options
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
78 Synopsys Confidential Information January 2024
Setting Logic Synthesis Implementation Options Chapter 4: Setting Up a Logic Synthesis Project
3. Set the device mapping options. The options vary, depending on the
technology you choose.
– If you are unsure of what an option means, click the option to see a
description in the box below. For full descriptions of the options, click
F1 or refer to the appropriate vendor chapter in the Reference
Manual.
– To set an option, type in the value or check the box to enable it.
For more information about setting fanout limits and retiming, see
Setting Fanout Limits, on page 418, Retiming, on page 406, and Retiming,
on page 406, respectively. For details about other vendor-specific
options, refer to the appropriate vendor chapter and technology family in
the Reference Manual.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 79
Chapter 4: Setting Up a Logic Synthesis Project Setting Logic Synthesis Implementation Options
5. Click the Run button to synthesize the design. The software compiles
and maps the design using the options you set.
6. To set device options with a script, use the set_option Tcl command. The
following table contains an alphabetical list of the device options on the
Device tab mapped to the equivalent Tcl commands. Because the options
are technology- and family-based, all of the options listed in the table
may not be available in the selected technology. All commands begin
with set_option, followed by the syntax in the column as shown. Check
the Reference Manual for the most comprehensive list of options for your
vendor.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
80 Synopsys Confidential Information January 2024
Setting Logic Synthesis Implementation Options Chapter 4: Setting Up a Logic Synthesis Project
2. Click the optimization options you want, either on the form or in the
Project view. Your choices vary, depending on the technology. If an
option is not available for your technology, it is greyed out. Setting the
option in one place automatically updates it in the other.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 81
Chapter 4: Setting Up a Logic Synthesis Project Setting Logic Synthesis Implementation Options
Auto Compile Point The Automatic Compile Point Flow, on page 456
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
82 Synopsys Confidential Information January 2024
Setting Logic Synthesis Implementation Options Chapter 4: Setting Up a Logic Synthesis Project
The software compiles and maps the design using the options you set.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 83
Chapter 4: Setting Up a Logic Synthesis Project Setting Logic Synthesis Implementation Options
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
84 Synopsys Confidential Information January 2024
Setting Logic Synthesis Implementation Options Chapter 4: Setting Up a Logic Synthesis Project
This removes the constraint file from the implementation, but does not
delete it.
When you synthesize the design, the software compiles and maps the
design using the options you set.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 85
Chapter 4: Setting Up a Logic Synthesis Project Setting Logic Synthesis Implementation Options
4. Set the format for the output file. The equivalent Tcl command for
scripting is project -result_format format.
When you synthesize the design, the software compiles and maps the
design using the options
LOyou set.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
86 Synopsys Confidential Information January 2024
Setting Logic Synthesis Implementation Options Chapter 4: Setting Up a Logic Synthesis Project
2. Set the number of critical paths you want the software to report.
3. Specify the number of start and end points you want to see reported in
the critical path sections.
When you synthesize the design, the software compiles and maps the
design using the options you set.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 87
Chapter 4: Setting Up a Logic Synthesis Project Setting Logic Synthesis Implementation Options
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
88 Synopsys Confidential Information January 2024
Setting Logic Synthesis Implementation Options Chapter 4: Setting Up a Logic Synthesis Project
2. Specify the top-level module if you did not already do this in the Project
view.
You can type in directives you would normally enter with 'ifdef and ‘define
statements in the code. For example, ABC=30 results in the software
writing the following statements to the project file:
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 89
Chapter 4: Setting Up a Logic Synthesis Project Setting Logic Synthesis Implementation Options
5. In the Include Path Order, specify the search paths for the include
commands for the Verilog files that are in your project. Use the buttons
in the upper right corner of the box to add, delete, or reorder the paths.
6. In the Library Directories or Files, specify the path to the directory which
contains the library files for your project. Use the buttons in the upper
right corner of the box to add, delete, or reorder the paths or files.
When you synthesize the design, the software compiles and maps the
design using the options you set.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
90 Synopsys Confidential Information January 2024
Setting Logic Synthesis Implementation Options Chapter 4: Setting Up a Logic Synthesis Project
For VHDL source, you can specify the options described below.
1. Specify the top-level module if you did not already do this in the Project
view. If the top-level module is not located in the default work library, you
must specify the library where the compiler can find the module. For
information on how to do this, see VHDL Panel, on page 360.
You can also use this option for mixed language designs or when you
want to specify a module that is not the actual top-level entity for HDL
Analyst displaying and debugging in the schematic views.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 91
Chapter 4: Setting Up a Logic Synthesis Project Setting Logic Synthesis Implementation Options
When you synthesize the design, the software compiles and maps the
design using the options you set.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
92 Synopsys Confidential Information January 2024
Specifying Attributes and Directives Chapter 4: Setting Up a Logic Synthesis Project
Attributes Directives
VHDL Yes Yes
If SCOPE/constraints file and the HDL source code are specified for a design,
the constraints has the highest priority when there are conflicts.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 93
Chapter 4: Setting Up a Logic Synthesis Project Specifying Attributes and Directives
library synplify;
use synplify.attributes.all;
2. Add the attribute or directive you want after the design unit declaration.
declarations;
attribute attribute_name of objectName : objectType is value;
For example:
entity simpledff is
port (q: out bit_vector(7 downto 0);
d : in bit_vector(7 downto 0);
clk : in bit);
attribute syn_noclockbuf of clk : signal is true;
For details of the syntax conventions, see VHDL Attribute and Directive
Syntax, on page 408 in the Reference Manual.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
94 Synopsys Confidential Information January 2024
Specifying Attributes and Directives Chapter 4: Setting Up a Logic Synthesis Project
design_unit_declaration;
attribute attributeName : dataType;
attribute attributeName of objectName : objectType is value;
For example:
entity simpledff is
port (q: out bit_vector(7 downto 0);
d : in bit_vector(7 downto 0);
clk : in bit);
attribute syn_noclockbuf : boolean;
attribute syn_noclockbuf of clk :signal is true;
Verilog does not have predefined synthesis attributes and directives, so you
must add them as comments. The attribute or directive name is preceded by
the keyword synthesis. Verilog files are case sensitive, so attributes and direc-
tives must be specified exactly as presented in their syntax descriptions. For
syntax details, see Verilog Attribute and Directive Syntax, on page 132in the
Reference Manual.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 95
Chapter 4: Setting Up a Logic Synthesis Project Specifying Attributes and Directives
For details of the syntax rules, see Verilog Attribute and Directive Syntax,
on page 132 in the Reference Manual. The following are examples:
3. If multiple registers are defined using a single Verilog reg statement and
an attribute is applied to them, then the synthesis software only applies
the last declared register in the reg statement. For example:
1. Start with a compiled design and open the SCOPE window. To add the
attributes to an existing constraint file, open the SCOPE window by
clicking on the existing file in the Project view. To add the attributes to a
new file, click the SCOPE icon and click Initialize to open the SCOPE
window.
You can either select the object first (step 3) or the attribute first (step 4).
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
96 Synopsys Confidential Information January 2024
Specifying Attributes and Directives Chapter 4: Setting Up a Logic Synthesis Project
3. To specify the object, do one of the following in the Object column. If you
already specified the attribute, the Object column lists only valid object
choices for that attribute.
– Select the type of object in the Object Filter column, and then select an
object from the list of choices in the Object column. This is the best
way to ensure that you are specifying an object that is appropriate,
with the correct syntax.
– Drag the object to which you want to attach the attribute from the
RTL or Technology views to the Object column in the SCOPE window.
For some attributes, dragging and dropping may not select the right
object. For example, if you want to set syn_hier on a module or entity
like an and gate, you must set it on the view for that module. The
object would have this syntax: v:moduleName in Verilog, or
v:library.moduleName in VHDL, where you can have multiple libraries.
– Type the name of the object in the Object column. If you do not know
the name, use the Find command or the Object Filter column. Make
sure to type the appropriate prefix for the object where it is needed.
For example, to set an attribute on a view, you must add the v: prefix
to the module or entity name. For VHDL, you might have to specify
the library as well as the module name.
4. If you specified the object first, you can now specify the attribute. The
list shows only the valid attributes for the type of object you selected.
Specify the attribute by holding down the mouse button in the Attribute
column and selecting an attribute from the list.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 97
Chapter 4: Setting Up a Logic Synthesis Project Specifying Attributes and Directives
If you selected the object first, the choices available are determined by
the selected object and the technology you are using. If you selected the
attribute first, the available choices are determined by the technology.
When you select an attribute, the SCOPE window tells you the kind of
value you must enter for that attribute and provides a brief description
of the attribute. If you selected the attribute first, make sure to go back
and specify the object.
5. Fill out the value. Hold down the mouse button in the Value column, and
select from the list. You can also type in a value.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
98 Synopsys Confidential Information January 2024
Specifying Attributes and Directives Chapter 4: Setting Up a Logic Synthesis Project
The software saves the SCOPE information in a Tcl constraint file, using
define_attribute statements. When you synthesize the design, the software
reads the constraint file and applies the attributes.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 99
Chapter 4: Setting Up a Logic Synthesis Project Specifying Attributes and Directives
However, the following procedure explains how you can specify attributes
directly in the constraint file.
Examples
Suppose top_property_handling.v contains MyProp=Value, which is associated
with out and is annotated in the netlist. If you apply the property on the net
intermediate_net, then the property is not annotated in the netlist since this net
is not preserved/kept in the flow.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
100 Synopsys Confidential Information January 2024
Specifying Attributes and Directives Chapter 4: Setting Up a Logic Synthesis Project
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 101
Chapter 4: Setting Up a Logic Synthesis Project Searching Files
Searching Files
A find-in-files feature is available to perform string searches within a speci-
fied set of files. Advantages to using this feature include:
• Ability to restrict the set of files to be searched to a project or implemen-
tation.
• Ability to cross probe the search results.
The find-in-files feature uses a dialog box to specify the search pattern, the
criteria for selecting the files to be searched, and any search options such as
match case or whole word. The files that meet the criteria are searched for the
pattern, and a list of the files containing the search pattern are displayed at
the bottom of the dialog box.
To use the find-in-files feature, open the Find in Files dialog box by selecting
Edit->Find in Files and enter the search pattern in the Find what field at the top of
the dialog box.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
102 Synopsys Confidential Information January 2024
Searching Files Chapter 4: Setting Up a Logic Synthesis Project
The Result Window selection is used after any of the above selection methods to
search the resulting list of files for a subsequent sub-pattern.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 103
Chapter 4: Setting Up a Logic Synthesis Project Searching Files
• Leaving the File filter field empty searches all files that meet the Find In
criteria.
• The Match Case, Whole Word, and Regular Expressions search options can be
used to further restrict searches.
While the find operation is running, the status line is continually updated
with how many matches are found in how many files and how many files are
being searched.
Search Results
The search results are displayed in the results window at the bottom of the
dialog box. For each match found, the entire line of the file is the displayed in
the following format:
fullpath_to_file(lineNumber): matching_line_text
indicates that the search pattern (data1) was found on line 487 of the
dcache.vhd file.
To open the target file at the specified line, double-click the line in the results
window.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
104 Synopsys Confidential Information January 2024
Archiving Files and Projects Chapter 4: Setting Up a Logic Synthesis Project
Archive a Project
Use the archive utility to store the files for a design project into a single
archive file in a proprietary format (sar). You can archive an entire project or
selected files from a project. If you want to create a copy of a project without
archiving the files, see Copy a Project, on page 114.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 105
Chapter 4: Setting Up a Logic Synthesis Project Archiving Files and Projects
3. Click Next.
If you did not select Customized file list, the tool summary displays all the
files in the archive and shows the full uncompressed file size as shown
in step 5 (the actual size is smaller after the archiving operation as there
is no duplication of files). When you select Customized file list, the following
interim menu is displayed LO to allow you to exclude specific file from the
archive.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
106 Synopsys Confidential Information January 2024
Archiving Files and Projects Chapter 4: Setting Up a Logic Synthesis Project
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 107
Chapter 4: Setting Up a Logic Synthesis Project Archiving Files and Projects
5. Verify that the current archive contains the files that you want, then
click Archive which creates the project archive sar file. If the list of files is
incorrect, click Back and include/exclude any desired files.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
108 Synopsys Confidential Information January 2024
Archiving Files and Projects Chapter 4: Setting Up a Logic Synthesis Project
6. Click Archive if you are finished. The synthesis tool reports the archive
success and the path location of the archive file.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 109
Chapter 4: Setting Up a Logic Synthesis Project Archiving Files and Projects
Un-Archive a Project
Uses this procedure to extract design project files from an archive file (sar).
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
110 Synopsys Confidential Information January 2024
Archiving Files and Projects Chapter 4: Setting Up a Logic Synthesis Project
3. Make sure all the files that you want to extract are checked and
references to these files are resolved.
– If there are files in the list that you do not want to include when the
project is un-archived, uncheck the box next to the file. The
un-checked files will be commented out in the project file (prj) when
project files are extracted.
– If you need to resolve a file in the project before un-archiving, click
the Resolve button and fill out the dialog box.
– If you want to replace a file in the project, click the Change button and
fill out the dialog box. Put the replacement files in the directory you
specify in Replace directory. You can replace a single file, any
unresolved files, or all the files. You can also undo the replace
operation.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 111
Chapter 4: Setting Up a Logic Synthesis Project Archiving Files and Projects
4. Click Next and verify that the project files you want are displayed in the
Un-Archive Summary.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
112 Synopsys Confidential Information January 2024
Archiving Files and Projects Chapter 4: Setting Up a Logic Synthesis Project
5. If you want to load this project in the UI after files have been extracted,
enable the Load project into Synplify Pro after un-archiving option.
6. When the Add extra input path to project file option is enabled, the archive
utility finds all include files and copies them into a directory called
extra_input. This directory is added to the unarchived project file.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 113
Chapter 4: Setting Up a Logic Synthesis Project Archiving Files and Projects
7. Click Un-Archive.
A message dialog box is displayed while the files are being extracted.
8. If the destination directory already contains project files with the same
name as the files you are extracting, you are prompted so that the
existing files can be overwritten by the extracted files.
Copy a Project
Use this utility to create an unarchived copy of a design project. You can copy
an entire project or just selected files from the project. However, if you want
to create an archive of the project, where the entire project is stored as a
single file, see Archive a Project, on page 105.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
114 Synopsys Confidential Information January 2024
Archiving Files and Projects Chapter 4: Setting Up a Logic Synthesis Project
and then displays the wizard, which contains the name of the project
and other information.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 115
Chapter 4: Setting Up a Logic Synthesis Project Archiving Files and Projects
– To specify a custom list of files, enable Customized file list. Use the check
boxes to include or exclude files from the copy. Enable SRS if you
want to copy all srs files (RTL schematics). You cannot enable the
Source Files option if you select this. Use the Add Extra Files button to
include additional files in the project.
– Click Next.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
116 Synopsys Confidential Information January 2024
Archiving Files and Projects Chapter 4: Setting Up a Logic Synthesis Project
3. Do the following:
– Verify the copy information.
– Enter a destination directory. If the directory does not exist it will be
created.
– Click Copy.
This creates the project copy.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 117
Chapter 4: Setting Up a Logic Synthesis Project Archiving Files and Projects
remote/sbg_pe/tests/feature_flow/include/block_b.h
After unarchiving the project, you can see the directory structure for the
equivalent absolute path relative to the project.
"./remote/sbg_pe/tests/feature_flow/include/block_b.h"
• The file location can be specified by include_path in the project file.
block_c/
block_c.v -> `include "block_c.h"
"./slowfs/sbg/tests/include2/block_d.h"
• The file location can be specified by include_path in the project file.
top_block/
top_block.v -> `include "top_block.h"
After you archive and unarchive the project, the relative paths in the original
project become absolute paths in the new unarchived project. In the project
file, the set_option -include_path preserves the original search order for the files.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
118 Synopsys Confidential Information January 2024
Archiving Files and Projects Chapter 4: Setting Up a Logic Synthesis Project
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 119
Chapter 4: Setting Up a Logic Synthesis Project Archiving Files and Projects
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
120 Synopsys Confidential Information January 2024
CHAPTER 5
Specifying Constraints
This chapter describes how to specify constraints for your design. It covers
the following:
• Using the SCOPE Editor, on page 122
• Specifying SCOPE Constraints, on page 128
• Specifying Timing Exceptions, on page 139
• Finding Objects with Tcl find and expand, on page 145
• Using Collections, on page 154
• Converting SDC to FDC, on page 164
• Using the SCOPE Editor (Legacy), on page 165
The following chapters discuss related information:
• Chapter 4, Constraint Guidelines (Reference Manual) for an overview of
constraints
• Chapter 4, Constraint Commands (Reference Manual) for a description of
the SCOPE editor
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 121
Chapter 5: Specifying Constraints Using the SCOPE Editor
These constraints are saved to the FPGA Design Constraint (FDC) file. The
FDC file contains Synopsys SDC Standard timing constraints (for example,
create_clock, set_input_delay, and set_false_path), along with the non-timing
constraints (design constraints) (for example, define_attribute, define_scope_col-
lection, and define_io_standard). When working with these constraints, use the
following processes:
• For existing designs, run the sdc2fdc script to translate legacy SDC
constraints and create a constraint file that contains Synopsys SDC
standard timing constraints and design constraints. For details about
this script, see Converting SDC to FDC, on page 164.
• For new designs, use the SCOPE editor. See Creating Constraints in the
SCOPE Editor, on page 122 for more information.
• For new designs, use the create_fdc_template Tcl command. See Creating
Constraints With the FDC Template Command, on page 126 for details.
OR
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
122 Synopsys Confidential Information January 2024
Using the SCOPE Editor Chapter 5: Specifying Constraints
Pressing Ctrl-n or selecting File -> New. This brings up the New dialog
box; then, specify a new file name.
An empty SCOPE spreadsheet window opens. The tabs along the bottom of
the SCOPE window list the different kinds of constraints you can add. For
each kind of constraint, the columns contain specific data.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 123
Chapter 5: Specifying Constraints Using the SCOPE Editor
3. Select if you want to apply the constraint to the top-level or for modules
from the Current Design option drop-down menu located at the top of the
SCOPE editor.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
124 Synopsys Confidential Information January 2024
Using the SCOPE Editor Chapter 5: Specifying Constraints
right-hand side. For more information about this text editor, see Using
the TCL View of SCOPE GUI, on page 134.
6. Click the Check Constraints button to run the constraint checker. The
output provides information on how the constraints are interpreted by
the tool.
All constraint information is saved in the same FPGA Design Constraint file
(FDC) with clearly marked beginning and ending for each section. Do not
manually modify these pre-defined SCOPE sections.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 125
Chapter 5: Specifying Constraints Using the SCOPE Editor
The following procedure shows you how to create constraints in the FDC
constraints file with the create_fdc_template command:
3. At the command line, for example, you can specify the following:
4. If you open the SCOPE editor, you can check that the clock period and
output delay values were added to the constraint file as shown in the
following figure.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
126 Synopsys Confidential Information January 2024
Using the SCOPE Editor Chapter 5: Specifying Constraints
However, if there is only one clock port and no derived clocks, no explicit
clock groups are created since they are not needed, as shown below.
6. You can continue using the SCOPE editor to create other constraints.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 127
Chapter 5: Specifying Constraints Specifying SCOPE Constraints
Design constraints let you add attributes, define collections and specify
constraints for them, and select specific I/O standard pad types for your
design.
You can define both timing and design constraints in the SCOPE editor. For
the different types of constraints, see the following topics:
• Entering and Editing SCOPE Constraints
• Setting Clock and Path Constraints
• Defining Input and Output Constraints
• Specifying Standard I/O Pad Types
To set constraints for timing exceptions like false paths and multicycle paths,
see Specifying Timing Exceptions, on page 139.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
128 Synopsys Confidential Information January 2024
Specifying SCOPE Constraints Chapter 5: Specifying Constraints
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 129
Chapter 5: Specifying Constraints Specifying SCOPE Constraints
Maximum Delay Paths Select the Delay Type path of Max Delay.
path delay Select the start/from point for either a port or register
(From/Through). See Defining From/To/Through Points
for Timing Exceptions , on page 139 for more
information.
Select the end/to point for either an output port or
register. Specify a through point for a net or
hierarchical port/pin (To/Through).
Set the delay value (Max Delay).
Check the Enabled box.
Multicycle Delay Paths See Defining Multicycle Paths , on page 143.
paths
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
130 Synopsys Confidential Information January 2024
Specifying SCOPE Constraints Chapter 5: Specifying Constraints
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 131
Chapter 5: Specifying Constraints Specifying SCOPE Constraints
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
132 Synopsys Confidential Information January 2024
Specifying SCOPE Constraints Chapter 5: Specifying Constraints
2. In the Port column, select the port. This determines the port type in the
Type column.
3. Enter an appropriate I/O pad type in the I/O Standard column. The
Description column shows a description of the I/O standard you selected.
4. Where applicable, set other parameters like drive strength, slew rate,
and termination.
You cannot set these parameter values for industry I/O standards
whose parameters are defined by the standard.
The software stores the pad type specification and the parameter values
in the syn_pad_type attribute. When you synthesize the design, the I/O
specifications are mapped to the appropriate I/O pads within the
technology.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 133
Chapter 5: Specifying Constraints Specifying SCOPE Constraints
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
134 Synopsys Confidential Information January 2024
Specifying SCOPE Constraints Chapter 5: Specifying Constraints
3. You can also specify a command by using the constraints browser that
displays a constraints command list and associated syntax.
– Double-click the specified constraint to add the command to the
editor window.
– Then, use the constraint syntax window to help you specify the
options for this command.
– Click the Hide Syntax Help button at the bottom of the editor window to
close the syntax help browser.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 135
Chapter 5: Specifying Constraints Specifying SCOPE Constraints
4. When you save this file, the constraint file is added to your project in the
Constraint directory if the Add to Project option is checked on the New
dialog box. Thereafter, you can double-click the FDC constraint file to
open it in the text editor.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
136 Synopsys Confidential Information January 2024
Specifying SCOPE Constraints Chapter 5: Specifying Constraints
bus bits before you drag and drop them. If you drag and drop or type
a name, make sure that the object has the proper prefix identifiers:
c:clock_name clocks
– For cells with values, type in the value or select from the pull-down
list.
– Click the check box in the Enabled column to enable the constraint or
attribute.
– Make sure you have entered all the essential information for that
constraint. Scroll horizontally to check. For example, to set a clock
constraint in the Clocks tab, you must fill out Enabled, Clock, Period,
and Clock Group. The other columns are optional. For details about
setting different kinds of constraints, go to the appropriate section
listed in Specifying SCOPE Constraints, on page 128.
To ... Do ...
Cut, copy, paste, Select the command from the popup (hold down the
undo, or redo right mouse button to get the popup) or from the
Edit menu.
Copy the same value Select Fill Down (Ctrl-d) from the Edit or popup menus.
down a column
Insert or delete rows Select Insert Row or Delete Rows from the Edit or
popup menus.
Find text Select Find from the Edit or popup menus. Type the text
you want to find, and click OK.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 137
Chapter 5: Specifying Constraints Specifying SCOPE Constraints
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
138 Synopsys Confidential Information January 2024
Specifying Timing Exceptions Chapter 5: Specifying Constraints
The following guidelines provide details for defining these constraints. You
must specify at least one From, To, or Through point.
• In the From field, identify the starting point for the path. The starting
point can be a clock, input or bidirectional port, or register. Only black
box output pins are valid. To specify multiple starting points:
– Such as the bits of a bus, enclose them in square brackets: A[15:0] or
A[*].
– Select the first start point from the HDL Analyst view, then drag and
drop this instance into the From cell in SCOPE. For each subsequent
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 139
Chapter 5: Specifying Constraints Specifying Timing Exceptions
instance, press the Shift key as you drag and drop the instance into
the From cell in SCOPE. For example, valid Tcl command format
include:
set_multicycle_path -from {i:aq i:bq} 2
set_multicycle_path -from [i:aq i:bq} -through {n:xor_all} 2
• In the To field, identify the ending point for the path. The ending point
can be a clock, output or bidirectional port, or register. Only black box
input pins are valid. To specify multiple ending points, such as the bits
of a bus, enclose them in square brackets: B[15:0].
• A single through point can be a combinational net, hierarchical port or
instantiated cell pin. To specify a net:
– Click in the Through field and click the arrow. This opens the Product of
Sums (POS) interface.
– Either type the net name with the n: prefix in the first cell or drag the
net from an HDL Analyst view into the cell.
– Click Save.
For example, if you specify n:net1, the constraint applies to any path
passing through net1.
• To specify an OR when constraining a list of through points, you can type
the net names in the Through field or you can use the POS UI. To do this:
– Click in the Through field and click the arrow. This opens the Product of
Sums interface.
– Either type the first net name in a cell in a Prod row or drag the net
from an HDL Analyst view into the cell. Repeat this step along the
same row, adding other nets in the Sum columns. The nets in each
row form an OR list.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
140 Synopsys Confidential Information January 2024
Specifying Timing Exceptions Chapter 5: Specifying Constraints
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 141
Chapter 5: Specifying Constraints Specifying Timing Exceptions
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
142 Synopsys Confidential Information January 2024
Specifying Timing Exceptions Chapter 5: Specifying Constraints
In this example, the synthesis tool applies the constraint to the paths
through all points in the lists as follows:
5. Specify the clock period to use for the constraint by going to the Start/End
column and selecting either Start or End.
If you do not explicitly specify a clock period, the software uses the end
clock period. The constraint is now calculated as follows:
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 143
Chapter 5: Specifying Constraints Specifying Timing Exceptions
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
144 Synopsys Confidential Information January 2024
Finding Objects with Tcl find and expand Chapter 5: Specifying Constraints
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 145
Chapter 5: Specifying Constraints Finding Objects with Tcl find and expand
Case rules Use the case rules for the language from which the object
was generated:
• VHDL: case-insensitive
• Verilog: case-sensitive. Make sure that the object name
you type in the SCOPE window matches the Verilog
name.
For mixed language designs, use the case rules for the
parent module. The top level for this example is VHDL,
so the following command finds any object in the current
view that starts with either a or A:
find {a*} -nocase
Pattern matching You have two pattern-matching choices:
• Specify the -regexp argument, and then use regular
expressions for pattern matching.
• Do not specify -regexp, and use only the * and ?
wildcards for pattern matching.
For hierarchical instance names that use dots as
separators, the dots must be escaped with a backward
slash (\). For example: abc\.d.
Scope of the search The scope of the search varies, depending on where you
enter the command. If you enter it in the SCOPE
environment, the scope of the search is the entire
database, but if it is entered in the Tcl window, the
default scope of the search is the current HDL Analyst
view. See Comparison of Methods for Defining
Collections , on page 154 for a list of the differences.
To set the scope to include the hierarchical levels below
the current view in HDL Analyst, use the -hier argument.
This example finds all objects below the current view that
begin with a:
find {a*} -hier
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
146 Synopsys Confidential Information January 2024
Finding Objects with Tcl find and expand Chapter 5: Specifying Constraints
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 147
Chapter 5: Specifying Constraints Finding Objects with Tcl find and expand
2. Specify the command using the find pattern as usual, and then specify
the -filter option as the last argument:
With this command, the tool first finds objects that match the find search-
Pattern, and then further filters the found objects the according to the
property criteria specified in -filter expression. Use the ! character before
expression if you want to select objects that do not match the properties
specified in the filter expression.
The following example finds registers in the current view that are
clocked by myclk:
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
148 Synopsys Confidential Information January 2024
Finding Objects with Tcl find and expand Chapter 5: Specifying Constraints
Instances with negative slack set negFF [find -hier -inst {*} -filter @slack <= {0.0}]
Instances within a slack range set slackRange [find -hier -inst {*} -filter @slack <=
{-1.000} && @slack >= {+1.000}]
Sequential elements within a set clk1FF [find -hier -seq * -filter {@clock==clk1]
clock domain
Sequential components by set fdrse [find -hier -seq {*} -filter @view=={FDRSE}
primitive type
Logic path between two set srs_view [open_file ./rev_test/top.srs]
collection of sequential set c1 [find -inst -seq \{m2.d*}]
hierarchical instances set c2 [find -inst -seq \{m1.do*}]
select [expand -hier -from $c1 -to $c2]
The Tcl find command returns a collection of objects. If you want to create a
collection of connectivity-based objects, use the Tcl expand command instead
of find (Specifying Search Patterns for Tcl find, on page 145). This section lists
some tips for using the Tcl find command.
1. Create a collection by typing the set command and assigning the results
to a variable. The following example finds all instances with a primitive
type DFF and assigns the collection to the variable $result:
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 149
Chapter 5: Specifying Constraints Finding Objects with Tcl find and expand
find command. For full details of the syntax, refer to Tcl Find Syntax, on
page 148 of the Reference Manual.
2. Check your find constraints. See Checking Tcl find and expand Results,
on page 151.
3. Once you have defined the collection, you can view the objects in the
collection, using one of the following methods, which are described in
more detail in Viewing and Manipulating Collections with Tcl Commands,
on page 160:
– Print the collection using the -print option to the find command.
– Print the collection without carriage returns or properties, using c_list.
– Print the collection in columns, with optional properties, using c_print.
4. To manipulate the objects in the collection, use the commands
described in Viewing and Manipulating Collections with Tcl Commands,
on page 160.
1. Specify at least one from, to, or thru point as the starting point for the
command. You can use any combination of these points.
LO
The following example expands the cone of logic between reg1 and reg2.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
150 Synopsys Confidential Information January 2024
Finding Objects with Tcl find and expand Chapter 5: Specifying Constraints
If you do not specify this argument, the command only works on the
current view. The following example expands the cone of logic to reg1,
including instances below the current level:
The following command finds all pins driven by the specified pin.
4. To print a list of the objects found, either use the -print argument to the
expand command, or use the c_print or c_list commands (see Creating
Collections using Tcl Commands, on page 157).
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 151
Chapter 5: Specifying Constraints Finding Objects with Tcl find and expand
– If there are issues, the tool reports them in the design_cck.rpt report
file. Check the Summary and Inapplicable Constraints sections in this file.
2. To list objects selected by the find or expand commands, use one of these
methods:
– List the results by specifying the -print option to the command.
– List the results with the c_list command.
– Print out the results one item per line, using the c_print command.
3. To visually validate the objects selected by the find or expand commands,
do the following:
– Run the command and save the results as a collection.
– On the SCOPE Collections tab, select the collection.
– Right-click and choose Select in Analyst. The objects in the collection
are highlighted in the RTL view. The example below shows high
fanout nets that drive more than 20 destinations.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
152 Synopsys Confidential Information January 2024
Finding Objects with Tcl find and expand Chapter 5: Specifying Constraints
1. Create the Tcl file to be run in batch mode, making sure that the
open_design command precedes the find/expand commands you want.
This batch script uses the find command to find DSPs and negative
slack, and then writes out the results to separate text files:
open_design implementation_a/top.srm
set find_DSPs [find -hier -inst{*} -filter @view == {DSP*}]
set find_DSPs [find -hier -inst {*} -filter @view ==
{MACC_PA_BC_ROM*}]
c_print $find_DSPs -file DSPs.txt
c_print -prop slack -prop view $find_negslack -file negslack.txt
You cannot include the Tcl find command in Timing Analyzer scripts.
Instead, run Tcl Find to TXT command and use the results.
2. Run the script at the command line. For example, if the file created in
step 1 was called analysis.tcl, specify it at the command line, as shown
below:
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 153
Chapter 5: Specifying Constraints Using Collections
Using Collections
A collection is a defined group of objects. The advantage offered by collections
is that you can operate on all the objects in the collection at the same time. A
collection can consist of a single object, multiple objects, or even other collec-
tions. You can either define collections in the SCOPE window or type the
commands in the Tcl script window.
• Creating and Using SCOPE Collections, on page 155
• Creating Collections using Tcl Commands, on page 157
• Viewing and Manipulating Collections with Tcl Commands, on page 160
In the design shown below, if you push down into B, and then type find
-hier a* in the Tcl window, the command finds a3 and a4. However if you cut
and paste the same command into the SCOPE Collections tab, your results
would include a1, a2, a3, and a4, because the SCOPE interface uses the
top-level database and searches the entire hierarchy.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
154 Synopsys Confidential Information January 2024
Using Collections Chapter 5: Specifying Constraints
Top
B
a1
a2 a4 a3
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 155
Chapter 5: Specifying Constraints Using Collections
Note: Using collections with Tcl control constructs (such as if, for,
foreach, and while) can produce unexpected synthesis results.
Avoid defining constraints for collections with control constructs,
especially since the constraint checker does not recognize these
built-in Tcl commands.
The software saves the collection information in the constraint file for
the project.
LO
3. To apply constraints to a collection do the following:
– Define a collection as described in the previous steps.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
156 Synopsys Confidential Information January 2024
Using Collections Chapter 5: Specifying Constraints
– Specify the rest of the constraint as usual. The software applies the
constraint to all the objects in the collection.
For details of the syntax for the commands described here, refer to Collec-
tions, on page 223 in the Reference Manual.
A collection can consist of individual objects, Tcl lists (which can consist
of a single element), or other collections. You can embed the Tcl find and
expand commands in the set command to locate objects for the collection
(see Using the Tcl Find Command to Define Collections, on page 149 and
Specifying Search Patterns for Tcl find, on page 145). The following
example creates a collection called my_collection which consists of all the
modules (views) found by the embedded find command:
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 157
Chapter 5: Specifying Constraints Using Collections
Once you have created a collection, you can do various operations on the
objects in the collection (see Viewing and Manipulating Collections with Tcl
Commands, on page 160), but you cannot apply constraints to the collection.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
158 Synopsys Confidential Information January 2024
Using Collections Chapter 5: Specifying Constraints
If you added reg2 and reg3 with the c_union command, the command removes
the redundant instances (reg2) so that the new collection would still consist of
reg1, reg2, and reg3.
This example concatenates collection1and collection2 and names the new collec-
tion combined_collection:
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 159
Chapter 5: Specifying Constraints Using Collections
Make sure that you include extra curly braces {}, as shown below:
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
160 Synopsys Confidential Information January 2024
Using Collections Chapter 5: Specifying Constraints
For example, select $result highlights all the objects in the $result collec-
tion.
3. To print a simple list of the objects in the collection, uses the c_list
command, which prints a list like the following:
{i:EP0RxFifo.u_fifo.dataOut[0]} {i:EP0RxFifo.u_fifo.dataOut[1]}
{i:EP0RxFifo.u_fifo.dataOut[2]} ...
The c_list command prints the collection without carriage returns or
properties. Use this command when you want to perform subsequent
Tcl commands on the list. See Example: c_list Command, on page 163.
4. To print a list of the collection objects in column format, use the c_print
command. For example, c_print $result prints the objects like this:
{i:EP0RxFifo.u_fifo.dataOut[0]}
{i:EP0RxFifo.u_fifo.dataOut[1]}
{i:EP0RxFifo.u_fifo.dataOut[2]}
{i:EP0RxFifo.u_fifo.dataOut[3]}
{i:EP0RxFifo.u_fifo.dataOut[4]}
{i:EP0RxFifo.u_fifo.dataOut[5]}
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 161
Chapter 5: Specifying Constraints Using Collections
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
162 Synopsys Confidential Information January 2024
Using Collections Chapter 5: Specifying Constraints
{t:EP0RxFifo.u_fifo.dataOut[0].CE}
{t:EP0RxFifo.u_fifo.dataOut[1].CE}
{t:EP0RxFifo.u_fifo.dataOut[2].CE} ...
You can use the list to find the terminal (pin) owner:
This returns the following, which shows that the terminal (pin) has been
converted to the owning instance:
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 163
Chapter 5: Specifying Constraints Converting SDC to FDC
sdc2fdc
3. Check the constraint results directory for details about this translation.
4. The new constraints file is automatically updated for your project. Save
the new settings.
projectDir/FDC_constraints/implName
This directory includes the following results files:
– topLevel_translated.fdc - Contains the Synopsys FPGA design
constraints (FPGA design constraints and the Synopsys standard
timing constraints)
– topLevel|compilePoint_translate.log - Contains details about the
translation. Translation error messages explain issues and how to fix
them. Any translation errors not addressed when you run synthesis
appear in the SRR log file, but does not stop synthesis from running.
5. Open the FDC file resulting from translation in the FPGA SCOPE editor
to check these constraints and make any changes to them.
For information about the FDC file, see FDC Constraints, on page 130.
LO
For details about the translated files and troubleshooting guidelines, see
sdc2fdc Conversion, on page 133.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
164 Synopsys Confidential Information January 2024
Using the SCOPE Editor (Legacy) Chapter 5: Specifying Constraints
To do this, add your SDC constraint files to your project and run the following
at the command line:
% sdc2fdc
If you choose to do so, the following procedure shows you how to use the
legacy SCOPE editor to create constraints for the constraint file (SDC).
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 165
Chapter 5: Specifying Constraints Using the SCOPE Editor (Legacy)
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
166 Synopsys Confidential Information January 2024
Using the SCOPE Editor (Legacy) Chapter 5: Specifying Constraints
The tool also lets you add constraints automatically. For information about
auto constraints, see Using Auto Constraints, on page 376.
1. Click the appropriate tab at the bottom of the window to enter the kind
of constraint you want to create:
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 167
Chapter 5: Specifying Constraints Using the SCOPE Editor (Legacy)
2. Save the file by clicking the Save icon and naming the file.
The software creates a TCL constraint file (sdc). See Working with
Constraint Files, on page 55 for information about the commands in this
file.
3. To apply the constraints to your design, you must add the file to the
project now or later.
– Add it immediately by clicking Yes in the prompt box that opens after
you save the constraint file.
– Add it later, following the procedure for adding a file described in
Making Changes to a Project, on page 64.
The SCOPE GUI is much easier to use, and you can define various timing
constraints in it. For the equivalent Tcl syntax, see Chapter 2, Tcl Synthesis
Commands in the Reference Manual. See the following for different timing
constraints:
• Entering Default Constraints, on page 169
• Setting Clock and Path Constraints, on page 169
• Defining Clocks, on page 171
• Defining Input and Output Constraints (Legacy), on page 178
• Specifying Standard I/O Pad Types, on page 133
To set constraints for timing exceptions like false paths and multicycle paths,
see Specifying Timing Exceptions, on page 139.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
168 Synopsys Confidential Information January 2024
Using the SCOPE Editor (Legacy) Chapter 5: Specifying Constraints
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 169
Chapter 5: Specifying Constraints Using the SCOPE Editor (Legacy)
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
170 Synopsys Confidential Information January 2024
Using the SCOPE Editor (Legacy) Chapter 5: Specifying Constraints
Defining Clocks
Clock frequency is the most important timing constraint, and must be set
accurately. If you are planning to auto constrain your design (Using Auto
Constraints, on page 376), do not define any clocks. The following procedures
show you how to define clocks and set clock groups and other constraints
that affect timing:
• Defining Clock Frequency, on page 171
• Constraining Clock Enable Paths, on page 175
• Defining Other Clock Requirements, on page 177
1. Define a realistic global frequency for the entire design, either in the
Project view or the Constraints tab of the Implementation Options dialog box.
This target frequency applies to all clocks that do not have specified
clock frequencies. If you do not specify any value, a default value of 1
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 171
Chapter 5: Specifying Constraints Using the SCOPE Editor (Legacy)
MHz (or 1000 ns clock period) applies to all timing paths whenever the
clock associated with both start and end points of the path is not speci-
fied. Each clock that uses the global frequency is assigned to its own
clock group. See Defining Other Clock Requirements, on page 177 for
more information about clock group settings.
A B
Logic
clkA C
clkB
If clkA is ... And clkB is ... The effect for logic C is ...
Defined Defined For related clocks in the same clock group, the
relationship between clocks is calculated; all other
paths between the clocks are treated as false paths.
Undefined Undefined The path is unconstrained.
2. Define frequency for individual clocks on the Clocks tab of the SCOPE
window (define_clock constraint).
– Specify the frequency as either a frequency in the Frequency column
(-freq Tcl option) or a time period in the Period column (-period Tcl
option). When you enter a value in one column, the other is
calculated automatically.
LO
– For asymmetrical clocks, specify values in the Rise At (-rise) and Fall At
(-fall) columns. The software automatically calculates and fills out the
Duty Cycle value.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
172 Synopsys Confidential Information January 2024
Using the SCOPE Editor (Legacy) Chapter 5: Specifying Constraints
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 173
Chapter 5: Specifying Constraints Using the SCOPE Editor (Legacy)
Note: This method is often used for designs that have an enable signal
and a global clock, and where paths need to take longer than one clock
cycle. The registers in the design are actually connected to the global
clock; however, the tool treats the registers as having a virtual clock at
the frequency of the enable signal.
Using this method to constrain paths for technologies with clock buffer
delays requires careful analysis with the Timing Analysis Reports (STA).
The virtual clock does not include clock buffer delays. However,
non-virtual clocks that pass through clock buffers do include clock
buffer delays. The register that generates the enable signal is on the
non-virtual clock domain, whereas the registers connected to the enable
signal are on the virtual clock domain. Timing analysis shows that the
enable signal is on the path between the non-virtual and virtual clock
domains. For the actual design, the enable signal is on a path in the
non-virtual clock domain. Any paths between virtual and non-virtual
clocks are reported with a clock buffer delay on the non-virtual clock.
This may result in the critical path reporting negative slack.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
174 Synopsys Confidential Information January 2024
Using the SCOPE Editor (Legacy) Chapter 5: Specifying Constraints
5. After synthesis, check the Performance Summary section of the log file for a
list of all the defined and inferred clocks in the design.
6. If you do not meet timing goals after place-and-route, adjust the clock
constraint as follows:
– Open the SCOPE window with the clock constraint.
– In the Route column for the constraint, specify the actual route delay
(in nanoseconds), as obtained from the place-and-route results.
Adding this constraint is equivalent to putting a register delay on all
the input registers for that clock.
– Resynthesize your design.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 175
Chapter 5: Specifying Constraints Using the SCOPE Editor (Legacy)
The flip-flop that generates the enable signals is in the non-virtual clock
domain.The flip-flops that are connected to the enable signal are in the
virtual clock domain. The timing analyst considers the enable signal to be on
a path that goes between a non-virtual clock domain and a virtual clock
domain. In the actual circuit, the enable signal is on a path within a
non-virtual clock domain. The timing analyst reports any paths between
virtual and non-virtual clocks with a clock buffer delay on the non-virtual
clock. This is why critical paths might be reported with negative slack.
If you use this method to constrain paths in a technology that includes clock
buffer delays, you must carefully analyze the timing analysis reports. The
virtual clock does not include clock buffer delays, but any non-virtual clock
that passes through clock buffers will include clock buffer delays.
This timing analysis report includes a Clock delay at starting point, but does not
include Clock delay at ending point. The clock delay at the starting point is the
delay in the clock buffers of the non-virtual clock. In the actual circuit, this
delay would also be at the ending point and not affect the calculation of slack.
However as the ending clock is a virtual clock, the clock buffer delay ends up
creating a negative slack that does not exist in the actual circuit.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
176 Synopsys Confidential Information January 2024
Using the SCOPE Editor (Legacy) Chapter 5: Specifying Constraints
The software does not check design rules, so it is best to define the
relationship between clocks as completely as possible.
• Define all gated clocks with the define_clock constraint.
Avoid using gated clocks to eliminate clock skew. If possible, move the
logic to the data pin instead of using gated clocks. If you do use gated
clocks, you must define them explicitly, because the software does not
propagate the frequency of clock ports to gated clocks.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 177
Chapter 5: Specifying Constraints Using the SCOPE Editor (Legacy)
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
178 Synopsys Confidential Information January 2024
Using the SCOPE Editor (Legacy) Chapter 5: Specifying Constraints
– To synthesize with all the constraints, using the clock period for all
I/O paths that do not have an explicit constraint enable Use clock
period for unconstrained IO.
– Synthesize the design. When you forward-annotate the constraints,
the constraints used for synthesis are forward-annotated for
place-and-route.
• Input or output ports with explicitly defined constraints, but without a
reference clock (-ref option) are included in the System clock domain and
are considered to belong to every defined or inferred clock group.
• If you do not meet timing goals after place-and-route and you need to
adjust the input constraints; do the following:
– Open the SCOPE window with the input constraint.
– In the Route column for the input constraint, specify the actual route
delay in nanoseconds, as obtained from the place-and-route results.
Adding this constraint is equivalent to putting a register delay on the
input register.
– Resynthesize your design.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 179
Chapter 5: Specifying Constraints Using the SCOPE Editor (Legacy)
Use this technique to specify a false path between any two clocks,
regardless of clock groups. This constraint can be overridden by a
maximum delay constraint on the same path
• To override an implicit false path between any two clocks described
previously, set an explicit constraint between the clocks by selecting the
SCOPE Clock to Clock tab, and doing the following:
– Specify the starting (From Clock Edge) and ending clock edges (To Clock
Edge).
– Specify a value in the Delay column.
– Mark the Enabled check box.
The software treats this as an explicit constraint. You can use this
method to constrain a path between any two clocks, regardless of
whether they belong to the same clock group.
• To set an implicit false path on a path to/from an I/O port, do the
following:
– Select Project->Implementation Options->Constraints.
– Disable Use clock period for unconstrained IO.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
180 Synopsys Confidential Information January 2024
Using the SCOPE Editor (Legacy) Chapter 5: Specifying Constraints
If you choose to use the legacy SCOPE editor, this section shows you how to
manually create a Tcl constraint file. The software automatically creates this
file if you use the legacy SCOPE editor to enter the constraints. The Tcl
constraint file only contains general timing constraints. Black box
constraints must be entered in the source code. For additional information,
see When to Use Constraint Files over Source Code, on page 55.
2. Follow the syntax guidelines in Tcl Syntax Guidelines for Constraint Files,
on page 55.
3. Enter the timing constraints you need. For the syntax, see the Reference
Manual. If you have black box timing constraints, you must enter them
in the source code.
4. You can also add vendor-specific attributes in the constraint file using
define_attribute. See Specifying Attributes in the Constraints File, on
page 100 for more information.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 181
Chapter 5: Specifying Constraints Using the SCOPE Editor (Legacy)
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
182 Synopsys Confidential Information January 2024
CHAPTER 6
This chapter describes how to run synthesis, and how to analyze the log file
generated after synthesis. See the following:
• Synthesizing Your Design, on page 184
• Checking Log File Results, on page 189
• Handling Messages, on page 205
• Using Continue on Error, on page 219
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 183
Chapter 6: Synthesizing and Analyzing the Results Synthesizing Your Design
1. If you want to compile your design without mapping it, select Run->
Compile Only or press F7.
A compiled design has the RTL mapping, and you can view the RTL view.
You might want to just compile the design when you are not ready to
synthesize the design, but when you need to use a tool that requires a
compiled design, like the SCOPE interface.
2. To synthesize the logic, set all the options and attributes you want, and
then click Run.
Up-to-date checking is run for all synthesis design flows. Up-to-date checking
includes the following:
• The GUI launches mapper modules (pre-mapping and technology
mapping) and saves the intermediate netlists and log files in the synwork
and synlog folders, respectively.
• After each individual module
LO run completes, the GUI optionally copies
the contents of these intermediate log files from the synlog folder and
adds them to the Project log file (rev_1/projectName.srr). To set this option,
see Copy Individual Job Logs to the SRR Log File, on page 186.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
184 Synopsys Confidential Information January 2024
Synthesizing Your Design Chapter 6: Synthesizing and Analyzing the Results
• If you re-synthesize the design and there are no changes to the inputs
(HDL, constraints, and Project options):
– The GUI does not rerun pre-mapping and technology mapping and no
new netlist files are created.
– In the HTML log file, the GUI adds a link that points to the existing
pre-mapping and mapping log files from the previous run.
Double-click on this link (@L: indicates the link) to open the new text
file window.
If you open the text log file, the link is a relative path to the
implementation folder for the pre-mapping and mapping log files from
the previous run.
Note: Also, the GUI adds a note that indicates mapping will not be
re-run and to use the Run->Resynthesize All option in the Project
view to force synthesis to be run again.
As the job is running, you can click in the job status field of the Project view
to bring up the Job Status display. When you rerun synthesis, the job status
identifies which modules (pre-mapping or mapping) are up-to-date.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 185
Chapter 6: Synthesizing and Analyzing the Results Synthesizing Your Design
See also:
• Copy Individual Job Logs to the SRR Log File
• Limitations and Risks
2. On the Project View Options dialog box, scroll down to the Use links in SRR log
file to individual job logs option.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
186 Synopsys Confidential Information January 2024
Synthesizing Your Design Chapter 6: Synthesizing and Analyzing the Results
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 187
Chapter 6: Synthesizing and Analyzing the Results Synthesizing Your Design
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
188 Synopsys Confidential Information January 2024
Checking Log File Results Chapter 6: Synthesizing and Analyzing the Results
For users who only want to check a few critical performance criteria, it is
easier to use the Watch Window (see Using the Watch Window, on page 199)
instead of the log file. For details, read through the log file.
1. To open the log file, use one of these listed methods, according to the
format you want:
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 189
Chapter 6: Synthesizing and Analyzing the Results Checking Log File Results
The log file lists the compiled files, details of the synthesis run, and
includes color-coded errors, warnings and notes, and a number of
reports. For information about the reports, see Analyzing Results Using
the Log File Reports, on page 199.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
190 Synopsys Confidential Information January 2024
Checking Log File Results Chapter 6: Synthesizing and Analyzing the Results
– To search the body of the log file, use Control-f or the Edit->Find
command. See Viewing and Working with the Log File, on page 189 for
details.
– To add bookmarks or for general information about working in an
editing window, see Editing HDL Source Files with the Built-in Text
Editor, on page 39.
The areas of the log file that are most important are the warning
messages and the timing report. The log file includes a timing report
that lists the most critical paths. The synthesis products also let you
generate a report for a path between any two designated points, see
Generating Custom Timing Reports with STA, on page 366. The following
table lists places in the log file you can use when searching for informa-
tion.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 191
Chapter 6: Synthesizing and Analyzing the Results Checking Log File Results
You must fix errors, because you cannot synthesize a design with errors.
Check the warnings and make sure you understand them. See Checking
Results in the Message Viewer, on page 205 for information. Notes are
informational and usually can be ignored. For details about
crossprobing and fixing errors, see Editing HDL Source Files with the
Built-in Text Editor, on page 39, and Crossprobing from the Text Editor
Window, on page 331.
If you see Automatic dissolve at startup messages, you can usually ignore
them. They indicate that the mapper has optimized away hierarchy
because there were only a few instances at the lower level.
4. If you are trying to find and resolve warnings, you can bookmark them
as shown in this procedure:
– Select Edit->Find or press Ctrl-f.
– Type @W as the criteria on the Find form and click Mark All. The
software inserts bookmarks at every line with a warning. You can
now page through the file from bookmark to bookmark using the
commands in the Edit menu or the icons in the Edit toolbar. For more
information on using bookmarks, see Editing HDL Source Files with
the Built-in Text Editor, on page 39.
5. To crossprobe from the log file to the source code, click on the file name
in the HTML log file or double-click on the warning text (not the ID code)
in the ASCII text log file.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
192 Synopsys Confidential Information January 2024
Checking Log File Results Chapter 6: Synthesizing and Analyzing the Results
Timing reports Click Detailed Report or Timing Report View in the Timing
Summary panel.
Log at different stages Click Detailed Report in the Run Status panel.
Area reports Click Detailed Report or Hierarchical Area Report in the
Area Summary panel.
The Detailed Report links display parts of the log file, and the other links
go to special view windows for different kinds of reports. See The Project
Results View, on page 26 for more information about different reports
that can be accessed from the Project Results view.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 193
Chapter 6: Synthesizing and Analyzing the Results Checking Log File Results
The numbers of notes, errors, and warnings reported in the Run Status
panel might not match the numbers displayed in the Messages
window if the design contains compile points. The numbers reported
are for the top level.
– Click the Messages tab at the bottom of the Project view to open a
window with a list of all the notes, errors and warnings. See Checking
Results in the Message Viewer, on page 205 for more information
about using this window.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
194 Synopsys Confidential Information January 2024
Checking Log File Results Chapter 6: Synthesizing and Analyzing the Results
– Open the log file, locate the message, and click the message ID. The
log file includes all the results from the run, so it could be harder to
locate the message you want.
1. Select Options->Project Status Page Location from the Project menu and
select the implementation for which you want the reports.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 195
Chapter 6: Synthesizing and Analyzing the Results Checking Log File Results
2. Set the location for storing the project status page, using either of these
methods:
– Enable Save to different location and specify a path for the location of the
status page. This allows you to save the status reports in different
locations.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
196 Synopsys Confidential Information January 2024
Checking Log File Results Chapter 6: Synthesizing and Analyzing the Results
If you use this option, you must restart the tool the first time, since
the environment variable is not applied dynamically. This option
always saves the status report to the location indicated by the
variable.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 197
Chapter 6: Synthesizing and Analyzing the Results Checking Log File Results
3. Click OK.
4. Run synthesis.
The status reports are saved to the location you specified for your
project. For example:
C:\synResults\tutorial\rev_1
5. Access the location you set up from any browser on a mobile device (for
example, a smart phone or tablet).
– Access the location you set in the previous steps.
– Open the projectName/implementationName/index.html file with any
browser.
Your company may need to set up a location on its internal internet,
where the status reports can be saved and later accessed with a URL
address.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
198 Synopsys Confidential Information January 2024
Checking Log File Results Chapter 6: Synthesizing and Analyzing the Results
3. To check logic resources, check the Resource Usage Report section at the
end of the log file, as described in Checking Resource Usage, on
page 201.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 199
Chapter 6: Synthesizing and Analyzing the Results Checking Log File Results
If you open an existing project, the Watch window shows the parameters
set the last time you opened the window.
2. If you need a larger window, either resize the window or move the Watch
Window as described below.
– Hold down Ctrl or Shift, click the window, and move it to a position you
want. This makes the Watch window an independent window,
separate from the Project view.
– To move the window to another position within the Project view,
right-click in the window border and select Float in Main Window. Then
move the window to the position you want, as described above.
3. Select the log parameter you want to monitor by clicking on a line and
selecting a parameter from the resulting popup menu.
The software automatically fills in the appropriate value from the last
synthesis run. You can check the clock requested and estimated
frequencies, the clock requested and estimated periods, the slack, and
some resource usage criteria.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
200 Synopsys Confidential Information January 2024
Checking Log File Results Chapter 6: Synthesizing and Analyzing the Results
1. Go to the Resource Usage report at the end of the log file (.srr).
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 201
Chapter 6: Synthesizing and Analyzing the Results Checking Log File Results
3. For technology-specific designs, you can also check the hierarchical area
report (projectName.areasrr).
This file contains the percentage utilization for various elements in the
design. See Hierarchical Area Report, on page 172 in the Reference
Manual for more about this file.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
202 Synopsys Confidential Information January 2024
Checking Log File Results Chapter 6: Synthesizing and Analyzing the Results
1. Start from the Tcl window and make sure you are located in the current
implementation directory.
2. To find the names of the metrics available for the design, use one of the
following command:
3. Use the metric names with one of the following commands, according to
the level of detail you want to see.
Metrics can be global for the entire design, or specific to an object, such
as a clock, module, or net. The command returns values in the default
output format shown below:
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 203
Chapter 6: Synthesizing and Analyzing the Results Checking Log File Results
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
204 Synopsys Confidential Information January 2024
Handling Messages Chapter 6: Synthesizing and Analyzing the Results
Handling Messages
This section describes how to work with the error messages, notes, and
warnings that result after a run. See the following for details:
• Checking Results in the Message Viewer, on page 205
• Filtering Messages in the Message Viewer, on page 207
• Filtering Messages from the Command Line, on page 209
• Automating Message Filtering with a Tcl Script, on page 210
• Log File Message Controls, on page 213
• Working with Downgradable Errors and Critical Warnings, on page 216
1. If you need a larger window, either resize the window or move the Tcl
window. Click in the window border and move it to a position you want.
You can float it outside the main window or move it to another position
within the main window.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 205
Chapter 6: Synthesizing and Analyzing the Results Handling Messages
3. To reduce the clutter in the window and make messages easier to find
and understand, use the following techniques:
– Use the color cues. For example, when you have multiple synthesis
runs, messages that have not changed from the previous run are in
black; new messages are in red.
– Enable the Group Common IDs option in the upper right. This option
groups all messages with the same ID and puts a plus symbol next to
the ID. You can click the plus sign to expand grouped messages and
see individual messages.
There are two types of message groups: The same warning or note ID
appears in multiple source files indicated by a dash in the source files
column. Multiple warnings or notes in the same line of source code
indicated by a bracketed number.
– Sort the messages. To sort by a column header, click that column
heading. For example, click Type to sort the messages by type. For
example, you can use this to organize the messages and work
through the warnings before you look at the notes.
– To find a particular message, type text in the Find field. The tool finds
the next occurrence. You can also click the F3 key to search forward,
and the Shift-F3 key combination to search backwards.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
206 Synopsys Confidential Information January 2024
Handling Messages Chapter 6: Synthesizing and Analyzing the Results
1. Open the message viewer by clicking the Messages tab in the Tcl window
as previously described.
The Warning Filter spreadsheet opens, where you can set up filtering
expressions. Each line is one filter expression.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 207
Chapter 6: Synthesizing and Analyzing the Results Handling Messages
– To hide your filtered choices from the list of messages, click Hide Filter
Matches in the Warning Filter window.
– To display your filtered choices, click Show Filter Matches.
4. Set the filtering criteria.
– Set the columns to reflect the criteria you want to filter. You can
either select from the pull-down menus or type your criteria. If you
have multiple synthesis runs, the pull-down menu might contain
selections that are not relevant to your design.
The first line in the following example sets the criteria to show all
warnings (Type column) with message ID FA188 (ID). The second set of
criteria displays all notes that begin with MF.
– Use multiple fields and operators to refine filtering. You can use
wildcards in the field, as in line 2 of the example. Wildcards are
case-sensitive and space-sensitive. You can also use ! as a negative
operator. For example, if you set the ID in line 2 to !MF*, the message
list would show all notes except those that begin with MF.
– Click Apply when you have finished setting the criteria. This
automatically enables the Apply Filter button in the messages window,
and the list of messages is updated to match the criteria.
The synthesis tool interprets the criteria on each line in the Warning
Filter window as a set of AND operations (Warning and FA188), and the
lines as a set of OR operations (Warning and FA188 or Note and MF*).
– To close the Warning Filter
LO window, click Close.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
208 Synopsys Confidential Information January 2024
Handling Messages Chapter 6: Synthesizing and Analyzing the Results
– Save the project. The synthesis tool generates a Tcl file called
projectName.pfl (Project Filter Log) in the same location as the main
project file. The following is an example of the information in this file:
log_filter -hide_matches
log_filter -field type==Warning
-field message==*Una*
-field source_loc==sendpacket.v
-field log_loc==usbHostSlave.srr
-field report=="Compiler Report"
log_filter -field type==Note
log_filter -field id==BN132
log_filter -field id==CL169
log_filter -field message=="Input *"
log_filter -field report=="Compiler Report"
– When you want to reuse the filters, source the projectName.pfl file.
You can also include this file in a synhooks Tcl script to automate your
process.
1. Type your filter expressions in the Tcl window using the log_filter
command. For details of the syntax, see log_filter, on page 73 in the
Command Reference Manual.
For example, to hide all the notes and print only errors and warnings,
type the following:
log_filter -enable
log_filter -hide_matches
log_filter -field type==Note
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 209
Chapter 6: Synthesizing and Analyzing the Results Handling Messages
1. Create a message filter file like the following. (See Filtering Messages in
the Message Viewer, on page 207 or Filtering Messages from the
Command Line, on page 209 for details about creating this file.)
log_filter -clear
log_filter -hide_matches
log_filter -field report=="TECHNOLOGY MAPPER"
log_filter -field type==NOTE
log_filter -field message=="Input *"
log_filter -field message=="Pruning *"
puts "DONE!"
2. Copy the synhooks.tcl file and set the environment variable as described
in Automating Flows with LO synhooks.tcl, on page 525.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
210 Synopsys Confidential Information January 2024
Handling Messages Chapter 6: Synthesizing and Analyzing the Results
3. Edit the synhooks.tcl file so that it reads like the following example. For
syntax details, see Tcl Hook Command Example, on page 529 in the
Reference Manual.
– The following loads the message filter file when the project is opened.
Specify the name of the message filter file you created in step 1. Note
that you must source the file.
source "d:/tcl/smtp_setup.tcl"
proc send_simple_message {recipient email_server subject body}{
set token [mime::initialize -canonical text/plain -string
$body]
mime::setheader $token Subject $subject
smtp::sendmessage $token -recipients $recipient -servers
$email_server
mime::finalize $token
}
puts "Sending email..."
send_simple_message {address1,address2}
yourEmailServer subjectText> emailText
}
}
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 211
Chapter 6: Synthesizing and Analyzing the Results Handling Messages
When the script runs, an email with all the warnings from the synthesis
run is automatically sent to the specified email addresses.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
212 Synopsys Confidential Information January 2024
Handling Messages Chapter 6: Synthesizing and Analyzing the Results
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 213
Chapter 6: Synthesizing and Analyzing the Results Handling Messages
2. Select the Suppress Message, Make Error, Make Warning, or Make Note button
to move the selected message from the upper section to the lower
section. The selected message is repopulated in the lower section with
the Override column reflecting the disposition of the message according
to the button selected.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
214 Synopsys Confidential Information January 2024
Handling Messages Chapter 6: Synthesizing and Analyzing the Results
Message Reporting
The compiler and mapper must be rerun before the impact of the message
status changes can be seen in the updated log file.
When a projectName.pfl input file is present at the start of the run, the
message-status changes in the file are forwarded to the mapper and compiler
which generate an updated log file. Depending on the changes specified:
• If an ID is promoted to an error, the mapper/compiler stops execution at
the first occurrence of the message and prints the message in the
@E:msgID :messageText format
• If an ID is promoted to a warning, the mapper/compiler prints the
message in the @W:msgID :messageText format.
• If an ID is demoted to a note, the mapper/compiler prints the message
in the @N:msgID :messageText format.
• If an ID is suppressed, the mapper/compiler excludes the message from
the srr file.
message_override -suppress ID [ID ...] | -error ID [ID ...] | -warning ID [ID ...]
| -note ID [ID ...]
For example, to override the default message definition for note FX702 as a
warning, enter:
You can also limit the number of occurrences for specified message IDs with
the following syntax:
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 215
Chapter 6: Synthesizing and Analyzing the Results Handling Messages
For example, limit messages with IDs FX214 and FX271 to 100 each in each
log file as follows:
Then, select the message filter file (.pfl) to be read for the project with the Read
Message File option.
Note: After editing the .pfl file, close and reopen the project to update
the overrides.
messagefilter.txt File
A messagefilter.txt file in the implementation/syntmp directory lists any changes
made to message priority or suppression through the Log File Filter dialog box.
This file, which is only generated when changes are made to the default
status of a message, can be accessed outside of the GUI without consuming a
license.
You can downgrade or upgrade these messages from the GUI or through a Tcl
command.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
216 Synopsys Confidential Information January 2024
Handling Messages Chapter 6: Synthesizing and Analyzing the Results
2. Right click within the log file and select Log File Message Filter from the
pop-up menu to display the Log File Filter dialog box.
5. To see the changes reflected, click the Run button. You can verify that
the message is now treated as:
– A warning (the mapping operation continues past the initial point of
the error condition)
– An error (the operation stops at the initial point of the error).
6. To revert the DE or CW message:
– Click on one of the downgraded DE warning IDs in the report and
select Log File Message Filter from the pop-up menu to display the Log
File Filter dialog box. This reverts the warning message to an error.
– Click on one of the upgraded CW warning IDs in the report and select
Log File Message Filter from the pop-up menu to display the Log File Filter
dialog box. This reverts the error message to a warning.
7. From the dialog box, highlight the DE or CW message from the list of
messages displayed at the top of the dialog box. Click either the:
– Make Error button to return the message to its original error status.
– Make Warning button to return the message to its original critical
warning status.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 217
Chapter 6: Synthesizing and Analyzing the Results Handling Messages
Modifying the status of a message does not affect the message string. A
message originally categorized as an error continues to be reported as an
error regardless of its user-assigned status.
2. To see the changes reflected, rerun the state from the database where
you changed the message classification.
After you have fixed the cause of the upgraded warning (critical warning)
or completed the rest of the flow (downgradable error), you must change
the message classification back to its original status.
3. To revert the messages back to their original status, use the appropriate
message_override command:
4. Rerun again to confirm that the message status has reverted to the
original.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
218 Synopsys Confidential Information January 2024
Using Continue on Error Chapter 6: Synthesizing and Analyzing the Results
The following procedure describes the details, which varies according to the
synthesis tool used.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 219
Chapter 6: Synthesizing and Analyzing the Results Using Continue on Error
2. If you are using the Synplify Pro software, compile the design and
ensure it is error-free before continuing.
The Synplify Pro CoE functionality does not extend to ignoring compiler
errors, but only affects technology mapping. You must identify and fix
compiler errors before running synthesis with CoE.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
220 Synopsys Confidential Information January 2024
Using Continue on Error Chapter 6: Synthesizing and Analyzing the Results
The tool reports warnings like the following in the log file for the
ignored errors:
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 221
Chapter 6: Synthesizing and Analyzing the Results Using Continue on Error
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
222 Synopsys Confidential Information January 2024
CHAPTER 7
This chapter describes how to analyze logic in the HDL Analyst and FSM
Viewer.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 223
Chapter 7: Analyzing with HDL Analyst Working in the Schematic
For information on specific tasks like analyzing critical paths, see the
following sections:
• Traversing Design Hierarchy with the Hierarchy Browser, on page 245
• Exploring Object Hierarchy with Push/Pop Commands, on page 248
• Crossprobing, on page 264
• Analyzing With the HDL Analyst Tool, on page 272
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
224 Synopsys Confidential Information January 2024
Working in the Schematic Chapter 7: Analyzing with HDL Analyst
2. To enable the new HDL Analyst tool, use one of the following methods:
– From the UI, Select HDL Analyst->Use New HDL Analyst option.
– From the UI: Select Options->Use New HDL Analyst option.
By default, this option is enabled.
All schematic views have the schematic on the right and a pane on the left
that contains a hierarchical list of the objects in the design. This pane is
called the Hierarchy Browser.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 225
Chapter 7: Analyzing with HDL Analyst Working in the Schematic
Dataflow View
Both the compiled and mapped views have a Dataflow View. Use this view to
display objects from a left to right datapath flow as shown above. You can
display a Clock View as well.
Clock View
To display all sequential elements connected to clock nets and debug the
clocks in the design use the view selector. Select Clocks View from the
drop-down menu in the upper right corner of the schematic view. Clock nets
are displayed with the color green.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
226 Synopsys Confidential Information January 2024
Working in the Schematic Chapter 7: Analyzing with HDL Analyst
Cloning Schematics
Most operations performed in any of the HDL Analyst views ( Clock View or
Dataflow View) are displayed in the current view. To create a new view of the
netlist, use the clone commands.
1. To clone the current view displayed, right-click and select Clone Schematic
from the drop-down menu. This view opens in a new window. You can
open multiple clone views.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 227
Chapter 7: Analyzing with HDL Analyst Working in the Schematic
2. To push into an object and create a new view, select an object then
right-click and Push in New Tab from the drop-down menu. For more
information, see Exploring Object Hierarchy with Push/Pop Commands,
on page 248.
3. To filter objects and create a new view, select the objects then right-click
and select Filter in a New Tab from the drop-down menu. For more
information, see Filtering Schematics, on page 277.
2. Select the object, right-click, and select Properties. The properties and
their values are displayed in a table.
For example, you can view the properties for instances and ports as
shown below.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
228 Synopsys Confidential Information January 2024
Working in the Schematic Chapter 7: Analyzing with HDL Analyst
Similarly, you can view the properties for pins and nets.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 229
Chapter 7: Analyzing with HDL Analyst Working in the Schematic
3. You can copy any number of fields from the Properties dialog box and
paste the properties to the Tcl window or a text file from within the tool.
For example, use this field with the collection commands to identify
groups of objects in the schematic.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
230 Synopsys Confidential Information January 2024
Working in the Schematic Chapter 7: Analyzing with HDL Analyst
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 231
Chapter 7: Analyzing with HDL Analyst Working in the Schematic
LO
2. Then, right-click and select one of the following:
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
232 Synopsys Confidential Information January 2024
Working in the Schematic Chapter 7: Analyzing with HDL Analyst
– View Instance in Source – Opens the RTL source file and finds the
instantiated instance selected.
– View Module in Source – Opens the RTL source file and finds the
instantiated module selected.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 233
Chapter 7: Analyzing with HDL Analyst Working in the Schematic
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
234 Synopsys Confidential Information January 2024
Working in the Schematic Chapter 7: Analyzing with HDL Analyst
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 235
Chapter 7: Analyzing with HDL Analyst Working in the Schematic
The HDL Analyst view highlights selected objects in red. If you have other
windows that are cloned, the selected object is highlighted in the other
windows as well (crossprobing).
When an object is selected in the schematic, you can use the following
command to print the name of the object (instance, port, pin, or net):
In the following example, two instances are selected. Specify the following
command to display their names in the Tcl window:
This command returns a Tcl list of selected objects in the current view.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
236 Synopsys Confidential Information January 2024
Working in the Schematic Chapter 7: Analyzing with HDL Analyst
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 237
Chapter 7: Analyzing with HDL Analyst Working in the Schematic
The HDL Analyst tool automatically groups instances with similar names at
all levels of hierarchy, when you enable the Allow Automatic Grouping option on
the HDL Analyst Options dialog box. For example, suppose there are three regis-
ters with the names out_reg[1], out_reg[2], and out_reg[3]. A group will be created
with the registers having the name out_reg[3:1].
2. Right-click and select the Group option from the pop-up menu.
This creates a dummy hierarchy that groups the objects together, which
is only displayed in the HDL Analyst GUI. It does not generate any
hierarchical changes to the design.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
238 Synopsys Confidential Information January 2024
Working in the Schematic Chapter 7: Analyzing with HDL Analyst
This forms a group of objects in group1 that is displayed with the purple
block.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 239
Chapter 7: Analyzing with HDL Analyst Working in the Schematic
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
240 Synopsys Confidential Information January 2024
Working in the Schematic Chapter 7: Analyzing with HDL Analyst
7. User-created groups are not saved when you close and re-open the same
netlist.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 241
Chapter 7: Analyzing with HDL Analyst Working in the Schematic
1. Select either:
– HDL Analyst->Schematic Options
– Options->Schematics Options
For a description of all the options on this form, see HDL Analyst Options
Command, on page 445 in the Command Reference Manual.
– Also, for your convenience you can simply select the Schematic Options
button from the top of the HDL Analyst view.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
242 Synopsys Confidential Information January 2024
Working in the Schematic Chapter 7: Analyzing with HDL Analyst
Show the out of date popup When enabled, shows the design out of date
message for the design. popup message if the design file has
changed while the HDL Analyst view was
opened.
Specify limits when displaying Set the limit and select Enabled.
or expanding instances.
Specify a zoom factor for labels Select a value between 1 and 10, where
displayed in the schematic labels are shown increasing in size
view. respectively. Changes will appear in the next
opened schematic view. The default is 2.
Determine if you want When Allow Automatic Grouping is enabled, the
automatic grouping for the tool automatically groups instances with
design. similar names at every level in the design.
3. Enable the Show design out of date popup message option to ensure that the
correct version of the HDL Analyst view is being displayed. You might be
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 243
Chapter 7: Analyzing with HDL Analyst Working in the Schematic
looking at inconsistent results, if the design netlist file (srs) has changed.
You can choose to close the current HDL Analyst view and reload the
updated version.
When you select this option, the following warning message is displayed
at the bottom of this dialog box.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
244 Synopsys Confidential Information January 2024
Exploring Design Hierarchy Chapter 7: Analyzing with HDL Analyst
The hierarchy browser allows you to traverse and select the following:
• Instances or Groups
• Ports
• Internal nets
The browser lists the objects by type. Use the expand ( ) and collapse ( )
signs to ascend or descend the hierarchy.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 245
Chapter 7: Analyzing with HDL Analyst Exploring Design Hierarchy
1. You can perform some similar operations as done in the schematic view,
such as filtering an object from the Hierarchy Browser. For example,
highlight an instance, then right-click and select Filter as shown below.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
246 Synopsys Confidential Information January 2024
Exploring Design Hierarchy Chapter 7: Analyzing with HDL Analyst
2. You can also crossprobe to instances and modules in the source file
from the Hierarchy Browser. Right-click and select either:
– View Instance in Source
– View Module in Source
For details, see Crossprobing, on page 264.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 247
Chapter 7: Analyzing with HDL Analyst Exploring Design Hierarchy
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
248 Synopsys Confidential Information January 2024
Exploring Design Hierarchy Chapter 7: Analyzing with HDL Analyst
1. To move down a level (push into an object) with a mouse stroke, put
your cursor near the top of the object, hold down the right mouse
button, and draw a vertical stroke from top to bottom. You can push
into the following objects; see step 3 for examples of pushing into
different types of objects.
– Hierarchical instances. They can be displayed as pale yellow boxes
(opaque instances).
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 249
Chapter 7: Analyzing with HDL Analyst Exploring Design Hierarchy
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
250 Synopsys Confidential Information January 2024
Exploring Design Hierarchy Chapter 7: Analyzing with HDL Analyst
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 251
Chapter 7: Analyzing with HDL Analyst Exploring Design Hierarchy
The software moves up a level, and displays the next level of hierarchy.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
252 Synopsys Confidential Information January 2024
Finding Objects Chapter 7: Analyzing with HDL Analyst
Finding Objects
In the schematics, you can use the Hierarchy Browser or the Find command
to find objects, as explained in these sections:
• Browsing to Find Objects in HDL Analyst Views, on page 253
• Using Wildcards with the Find Command, on page 263
2. To select a range of objects, you can press and hold the Shift key while
clicking the selected objects in the range.
The software selects and highlights all the objects in the range.
4. To select all objects of the same type, select them from the Hierarchy
Browser. For example, you can find all the nets in your design.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 253
Chapter 7: Analyzing with HDL Analyst Finding Objects
To speed up this process, the new Hierarchy Browser traverses the entire
(text-based) netlist to quickly extract hierarchical instance data. This helps to
display the entire netlist hierarchy quickly and also facilitates the viewing of
custom instances on demand, instead of traversing down the design
hierarchy. This flow is advantageous in large designs, to display the hierarchy
and view any instance, quickly.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
254 Synopsys Confidential Information January 2024
Finding Objects Chapter 7: Analyzing with HDL Analyst
When the next schematic is displayed, if the Use new “text-based” HDL
analyst option is enabled, the HDL Analyst tool displays the following:
– The design hierarchies (Instance Hierarchy tab)
– The corresponding objects in the loaded hierarchy (Detail View tab).
3. Click OK to close the dialog box. Now each design you view will follow the
updated option settings.
4. Select the RTL View icon or select RTL->Hierarchical View from the
HDL-Analyst menu, to view the RTL view of the design.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 255
Chapter 7: Analyzing with HDL Analyst Finding Objects
The Instance Hierarchy tab is visible only when the Use new “text-based” HDL
analyst option is enabled in the Schematic Options (HDL Analyst Options)
dialog box.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
256 Synopsys Confidential Information January 2024
Finding Objects Chapter 7: Analyzing with HDL Analyst
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 257
Chapter 7: Analyzing with HDL Analyst Finding Objects
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
258 Synopsys Confidential Information January 2024
Finding Objects Chapter 7: Analyzing with HDL Analyst
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 259
Chapter 7: Analyzing with HDL Analyst Finding Objects
3. Select on an object displayed in the dialog box below, then click the
Select button. Click the Filter button, to select the specified objects and
filter them in the HDL Analyst view.
Click the Close button to end the Find search. Then, you can use the Filter
command to display the objects.
When the search style options (Search all hierarchies and ".",can cross the
hierarchy separator) are not enabled, the software searches for objects at
the top level.
4. You can filter on the results found for objects based on the Property Filter
field and using the search
LO patterns specified in the Space separated
patterns field. For example, suppose you want to search for the
@is_hierarchical property for the design. Specify the pattern as shown in
the following dialog box and click Find. The results are displayed below:
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
260 Synopsys Confidential Information January 2024
Finding Objects Chapter 7: Analyzing with HDL Analyst
5. If you enable the Append option, objects selected in the current display
window are appended to each other when you click the Select or Select All
button. Otherwise, objects will be overridden after each selection.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 261
Chapter 7: Analyzing with HDL Analyst Finding Objects
6. You can also search for multiple patterns, then filter them in the
schematic view by clicking the Filter button.
7. If you determine that the search is taking too long to run, notice that the
Find button changes to Stop.
LO Click Stop.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
262 Synopsys Confidential Information January 2024
Finding Objects Chapter 7: Analyzing with HDL Analyst
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 263
Chapter 7: Analyzing with HDL Analyst Crossprobing
Crossprobing
Crossprobing is the process of selecting an object in one view and having the
object or the corresponding logic automatically highlighted in other views.
Crossprobing helps you visualize where coding changes or timing constraints
might help to reduce area or improve performance.
This section describes how to crossprobe from different views. It includes the
following:
• Crossprobing within a View, on page 264
• Crossprobing from an HDL Analyst View, on page 265
• Crossprobing to the Source Code, on page 267
• Crossprobing from the Text Editor Window, on page 269
• Crossprobing from the Log File, on page 271
In this example, when you select the DECODE module in the Hierarchy
Browser, the DECODE module is automatically selected in the view.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
264 Synopsys Confidential Information January 2024
Crossprobing Chapter 7: Analyzing with HDL Analyst
Crossprobing Description
Between HDL Analyst views You can crossprobe:
• Between the compiled and mapped views
• Between the compiled/mapped and hierarchy
browser views
To the source code For details, see Crossprobing to the Source Code ,
on page 267
From the text editor For details, see Crossprobing from the Text Editor
Window , on page 269
From the log file For details, see Crossprobing from the Log File , on
page 271
The software automatically highlights the object in all open views. If the open
view is a schematic, the software highlights the object in the Hierarchy
Browser on the left as well as in the schematic. If the highlighted object is in
another hierarchy of a schematic, the view does not automatically track to
the hierarchy. You may have to filter the schematic.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 265
Chapter 7: Analyzing with HDL Analyst Crossprobing
To crossprobe from the schematic to a source file when the source file is not
open, the instance names must be the same. Notice that when you hover over
an instance name in the schematic, it turns blue. You can click on this link,
to automatically open the editor window of the source code file and highlight
the appropriate code as shown below. A message is generated if a match
cannot be found.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
266 Synopsys Confidential Information January 2024
Crossprobing Chapter 7: Analyzing with HDL Analyst
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 267
Chapter 7: Analyzing with HDL Analyst Crossprobing
• Highlight a module in the HDL Analyst view, then right-click and select
View Module in Source from the drop-down menu. The tool automatically
crossprobes to this module in the source code.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
268 Synopsys Confidential Information January 2024
Crossprobing Chapter 7: Analyzing with HDL Analyst
Note that you can crossprobe to instances and modules in the source code
from the Hierarchy Browser as well. Highlight an object, then right-click and
select View Instance in Source or View Module in Source from the drop-down menu.
2. Select the appropriate portion of text in the Text Editor window. In some
cases, it may be necessary to select an entire block of text to crossprobe.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 269
Chapter 7: Analyzing with HDL Analyst Crossprobing
For example:
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
270 Synopsys Confidential Information January 2024
Crossprobing Chapter 7: Analyzing with HDL Analyst
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 271
Chapter 7: Analyzing with HDL Analyst Analyzing With the HDL Analyst Tool
To analyze information or compare views with the log file, the FSM view, and
the source code, you can use techniques like crossprobing, flattening, and
filtering. See the following for more information about analysis techniques.
• Viewing Design Hierarchy and Context, on page 272
• Filtering Schematics, on page 277
• Expanding Pin and Net Logic, on page 279
• Dissolving and Partial Dissolving of Buses and Pins, on page 285
• Flattening Schematic Hierarchy, on page 289
• Using the FSM Viewer, on page 291
For additional information about navigating the HDL Analyst views or using
other techniques like crossprobing, see the following:
• Working in the Schematic, on page 224
• Exploring Design Hierarchy, on page 245
• Finding Objects, on page 253
• Crossprobing, on page 264
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
272 Synopsys Confidential Information January 2024
Analyzing With the HDL Analyst Tool Chapter 7: Analyzing with HDL Analyst
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 273
Chapter 7: Analyzing with HDL Analyst Analyzing With the HDL Analyst Tool
2. Suppose you just used the peek option to see the internal logic of an
instance. To return back to the schematic state before using peek and
while the peek objects are still highlighted, right-click and select Hide
Contents from the drop-down menu.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
274 Synopsys Confidential Information January 2024
Analyzing With the HDL Analyst Tool Chapter 7: Analyzing with HDL Analyst
3. To view the internal logic of a hierarchical instance, you can push into
the instance, dissolve the selected instance with the Dissolve command,
or flatten the design.
Pushing into Generates a view that shows only the internal logic. You do not
an instance see the internal hierarchy in context. To return to the previous
view, click Back. See Exploring Object Hierarchy with Push/Pop
Commands , on page 248 for details.
Flattening Opens a view where the entire design is flattened. Large
the entire flattened designs can be overwhelming. See Flattening
design Schematic Hierarchy , on page 289 for details about flattening
designs.
Flattening Generates a view where the hierarchy of the selected instances
an instance is flattened, but the rest of the design is unaffected. This
by dissolving provides context. See Flattening Schematic Hierarchy , on
page 289 for details about dissolving instances.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 275
Chapter 7: Analyzing with HDL Analyst Analyzing With the HDL Analyst Tool
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
276 Synopsys Confidential Information January 2024
Analyzing With the HDL Analyst Tool Chapter 7: Analyzing with HDL Analyst
Filtering Schematics
Filtering is a useful first step in analysis, because it focuses analysis on the
relevant parts of the design. Some commands, like the Expand commands,
automatically generate filtered views; this procedure only discusses manual
filtering, where you use the Filter command to isolate selected objects.
1. Select the objects that you want to isolate. For example, you can select
two connected objects.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 277
Chapter 7: Analyzing with HDL Analyst Analyzing With the HDL Analyst Tool
You can now analyze the problem, and do operations like the following:
Trace paths, build up logic See Expanding Pin and Net Logic , on page 279
Filter further Select objects and filter again
Find objects See Finding Objects , on page 253
Flatten See Flattening Schematic Hierarchy , on
page 289. You can hide transparent or opaque
instances.
Crossprobe from filtered See Crossprobing from an HDL Analyst View , on
view page 265
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
278 Synopsys Confidential Information January 2024
Analyzing With the HDL Analyst Tool Chapter 7: Analyzing with HDL Analyst
Use the Expand commands with the Filter and Flatten commands to isolate just
the logic that you want to examine. Filtering isolates logic, flattening removes
hierarchy. See Filtering Schematics, on page 277 and Flattening Schematic
Hierarchy, on page 289 for details.
See all cells until a register or Select a pin and select Hierarchical Expand to
port is connected to the Reg/Port.
selected pin at any level of
hierarchy
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 279
Chapter 7: Analyzing with HDL Analyst Analyzing With the HDL Analyst Tool
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
280 Synopsys Confidential Information January 2024
Analyzing With the HDL Analyst Tool Chapter 7: Analyzing with HDL Analyst
Expanding Hierarchically
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 281
Chapter 7: Analyzing with HDL Analyst Analyzing With the HDL Analyst Tool
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
282 Synopsys Confidential Information January 2024
Analyzing With the HDL Analyst Tool Chapter 7: Analyzing with HDL Analyst
Filter by Nets
Expand Nets
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 283
Chapter 7: Analyzing with HDL Analyst Analyzing With the HDL Analyst Tool
3. You can also isolate the paths to generate a schematic for a path
between objects. To display connections to and from the selected
instance, highlight it then right-click and select Isolate Paths from the
drop-down menu.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
284 Synopsys Confidential Information January 2024
Analyzing With the HDL Analyst Tool Chapter 7: Analyzing with HDL Analyst
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 285
Chapter 7: Analyzing with HDL Analyst Analyzing With the HDL Analyst Tool
– Click OK.
The selected net is now removed from the bus.
– Choose an operation to expand as needed; see Expanding Pin and Net
Logic, on page 342.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
286 Synopsys Confidential Information January 2024
Analyzing With the HDL Analyst Tool Chapter 7: Analyzing with HDL Analyst
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 287
Chapter 7: Analyzing with HDL Analyst Analyzing With the HDL Analyst Tool
Dissolving of Ports
The HDL Analyst tool has options for handling ports in the display that can
help you analyze your design easier. You can expand logic for all bits of a
port.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
288 Synopsys Confidential Information January 2024
Analyzing With the HDL Analyst Tool Chapter 7: Analyzing with HDL Analyst
1. To flatten any level of hierarchy to logic cells below the current level,
right-click and select Flatten Schematic from the drop-down menu.
The software flattens the design hierarchy and displays it in the window.
To return to the previous level, select the Back arrow.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 289
Chapter 7: Analyzing with HDL Analyst Analyzing With the HDL Analyst Tool
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
290 Synopsys Confidential Information January 2024
Analyzing With the HDL Analyst Tool Chapter 7: Analyzing with HDL Analyst
Use this technique if you only want to flatten part of your design while
retaining the hierarchical context. If you want to flatten most of the
design, use the technique described in the previous step. Instead of
dissolving instances, you can use a combination of the filtering
commands and the Push/Pop command.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 291
Chapter 7: Analyzing with HDL Analyst Analyzing With the HDL Analyst Tool
1. To start the FSM viewer, open the compiled view and highlight the FSM
instance, click the right mouse button and select View State Machine from
the popup menu.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
292 Synopsys Confidential Information January 2024
Analyzing With the HDL Analyst Tool Chapter 7: Analyzing with HDL Analyst
This figure shows you the mapping information for a state machine. The
Transitions tab shows you simple equations for conditions for each state.
The RTL Encodings tab has a State column that shows the state names in
the source code, and a Registers column for the corresponding RTL
encoding. The Mapped Encoding tab shows the state names in the code
mapped to actual values.
The transition diagram now shows only the filtered states you set. The
following figure shows filtered views for output and input transitions for
one state.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 293
Chapter 7: Analyzing with HDL Analyst Analyzing With the HDL Analyst Tool
Similarly, you can check the relationship between two or more states by
selecting the states, filtering them, and checking their properties.
To view the properties for the entire state machine like encoding style,
number of states, and total number of transitions between states,
deselect any selected states, click the right mouse button outside the
diagram area, and select Properties from the popup menu.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
294 Synopsys Confidential Information January 2024
Working in the Standard Schematic Chapter 7: Analyzing with HDL Analyst
For detailed descriptions of these views, see the HDL Analyst Tool section of
the Reference Manual. This section describes basic procedures you use in the
RTL and Technology views. The information is organized into these topics:
• Differentiating Between the HDL Analyst Views, on page 296
• Opening the Views, on page 296
• Viewing Object Properties, on page 297
• Selecting Objects in the RTL/Technology Views, on page 302
• Working with Multisheet Schematics, on page 303
• Moving Between Views in a Schematic Window, on page 304
• Setting Schematic Preferences, on page 305
• Managing Windows, on page 306
For information on specific tasks like analyzing critical paths, see the
following sections:
• Exploring Object Hierarchy by Pushing/Popping, on page 309
• Exploring Object Hierarchy of Transparent Instances, on page 314
• Browsing to Find Objects in HDL Analyst Views, on page 316
• Crossprobing (Standard), on page 329
• Analyzing With the Standard HDL Analyst Tool, on page 336
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 295
Chapter 7: Analyzing with HDL Analyst Working in the Standard Schematic
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
296 Synopsys Confidential Information January 2024
Working in the Standard Schematic Chapter 7: Analyzing with HDL Analyst
All RTL and Technology views have the schematic on the right and a
pane on the left that contains a hierarchical list of the objects in the
design. This pane is called the Hierarchy Browser. The bar at the top of
contains additional information. See Hierarchy Browser, on page 82 in
the Reference Manual for a description of the Hierarchy Browser.
2. Select the object, right-click, and select Properties. The properties and
their values are displayed in a table.
If you select an instance, you can view the properties of the associated
pins by selecting the pin from the list. Similarly, if you select a port, you
can view the properties on individual bits.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 297
Chapter 7: Analyzing with HDL Analyst Working in the Standard Schematic
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
298 Synopsys Confidential Information January 2024
Working in the Standard Schematic Chapter 7: Analyzing with HDL Analyst
The New property helps with debugging because it quickly identifies objects
that have been added to the current schematic with commands like Expand.
You can step through successive filtered views to determine what was added
at each step.
The next figure expands one of the pins from the previous filtered view. The
new instance added to the view has two flags: new and slow.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 299
Chapter 7: Analyzing with HDL Analyst Working in the Standard Schematic
In the following example, the top-level module (top) instantiates the module
sub multiple times using different parameter values. The compiler uniquifies
the module sub as sub_3s, sub_1s, and sub_4s.
Top.v
module top (input clk, [7:0] din, output [7:0] dout);
sub #(.W(3)) UUT1 (.clk, .din(din[2:0]), .dout(dout[2:0]));
sub #(.W(1)) UUT2 (.clk, .din(din[3]), .dout(dout[3]));
sub #(.W(4)) UUT3 (.clk, .din(din[7:4]), .dout(dout[7:4]));
endmodule
LOW = 0) (
module sub #(parameter
input clk,
input [W-1:0] din,
output logic [W-1:0] dout);
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
300 Synopsys Confidential Information January 2024
Working in the Standard Schematic Chapter 7: Analyzing with HDL Analyst
always@(posedge clk)
begin
dout <= din;
end
endmodule
RTL View
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 301
Chapter 7: Analyzing with HDL Analyst Working in the Standard Schematic
The HDL Analyst view highlights selected objects in red. If the object you
select is on another sheet of the schematic, the schematic tracks to the
appropriate sheet. If you have other windows open, the selected object is
highlighted in the other windows as well (crossprobing), but the other
windows do not track to the correct sheet. Selected nets that span different
hierarchical levels are highlighted on all the levels. See Crossprobing
(Standard), on page 329 for more information about crossprobing.
Some commands affect selection by adding to the selected set of objects: the
LO
Expand commands, the Select All commands, and the Select Net Driver and Select
Net Instances commands.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
302 Synopsys Confidential Information January 2024
Working in the Standard Schematic Chapter 7: Analyzing with HDL Analyst
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 303
Chapter 7: Analyzing with HDL Analyst Working in the Standard Schematic
1. To move back to the previous view, click the Back icon or draw the
appropriate mouse stroke.
The software displays the last view, including the zoom factor. This does
not work in a newly generated view (for example, after flattening)
because there is no history.
2. To move forward again, click the Forward icon or draw the appropriate
mouse stroke.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
304 Synopsys Confidential Information January 2024
Working in the Standard Schematic Chapter 7: Analyzing with HDL Analyst
Some of these options do not take effect in the current view, but are
visible in the next schematic you open.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 305
Chapter 7: Analyzing with HDL Analyst Working in the Standard Schematic
4. To control the display of labels, first enable the Text->Show Text option,
and then enable the Label Options you want. The following figure
illustrates the label that each option controls.
The software writes the preferences you set to the ini file, and they
remain in effect until you change them.
Managing Windows
As you work on a project, you open different windows. For example, you
might have two Technology views, an RTL view, and a source code window
open. The following guidelines help you manage the different windows you
have open. For information about cycling through the display history in a
single schematic, see Moving Between Views in a Schematic Window, on
page 304.
Below the Project view, you see tabs like the following for each open
view. The tab for the current view is on top. The symbols in front of the
view name on the tab help identify the kind of view.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
306 Synopsys Confidential Information January 2024
Working in the Standard Schematic Chapter 7: Analyzing with HDL Analyst
2. To bring an open view to the front, if the window is not visible, click its
tab. If part of the window is visible, click in any part of the window.
3. To bring the next view to the front, click Ctrl-F6 in that window.
4. Order the display of open views with the commands from the Window
menu. You can cascade the views (stack them, slightly offset), or tile
them horizontally or vertically.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 307
Chapter 7: Analyzing with HDL Analyst Exploring Design Hierarchy (Standard)
The hierarchy browser allows you to traverse and select the following:
• Instances and submodules
• Ports
• Internal nets
• Clock trees (in an RTL view)
The browser lists the objects by type. A plus sign in a square icon indicates
that there is hierarchy under that object and a minus sign indicates that the
design hierarchy has been expanded. To see lower-level hierarchy, click the
plus sign for the object. To ascend the hierarchy, click the minus sign.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
308 Synopsys Confidential Information January 2024
Exploring Design Hierarchy (Standard) Chapter 7: Analyzing with HDL Analyst
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 309
Chapter 7: Analyzing with HDL Analyst Exploring Design Hierarchy (Standard)
1. To move down a level (push into an object) with a mouse stroke, put
your cursor near the top of the object, hold down the right mouse
button, and draw a vertical stroke from top to bottom. You can push
into the following objects; see step 3 for examples of pushing into
different types of objects.
– Hierarchical instances. They can be displayed as pale yellow boxes
(opaque instances) or hollow boxes with internal logic displayed
(transparent instances). You cannot push into a hierarchical instance
that is hidden with the Hide Instance command (internal logic is
hidden).
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
310 Synopsys Confidential Information January 2024
Exploring Design Hierarchy (Standard) Chapter 7: Analyzing with HDL Analyst
When you descend into a ROM, you can push into it one more time to
see the ROM data table. The information is in a view-only text file called
rom.info.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 311
Chapter 7: Analyzing with HDL Analyst Exploring Design Hierarchy (Standard)
Similarly, you can push into a state machine. When you push into an
FSM from the RTL view, you open the FSM viewer where you can graph-
ically view the transitions. For more information, see Using the FSM
Viewer (Standard), on page 353. If you push into a state machine from
the Technology view, you see the underlying logic.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
312 Synopsys Confidential Information January 2024
Exploring Design Hierarchy (Standard) Chapter 7: Analyzing with HDL Analyst
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 313
Chapter 7: Analyzing with HDL Analyst Exploring Design Hierarchy (Standard)
The software moves up a level, and displays the next level of hierarchy.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
314 Synopsys Confidential Information January 2024
Exploring Design Hierarchy (Standard) Chapter 7: Analyzing with HDL Analyst
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 315
Chapter 7: Analyzing with HDL Analyst Finding Objects (Standard)
2. To select a range of objects, select the first object in the range. Then,
scroll to display the last object in the range. Press and hold the Shift key
while clicking the last object in the range.
The software selects and highlights all the objects in the range.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
316 Synopsys Confidential Information January 2024
Finding Objects (Standard) Chapter 7: Analyzing with HDL Analyst
– Push down into the higher-level object, and then select the object
from the Hierarchy Browser.
4. To select all objects of the same type, select them from the Hierarchy
Browser. For example, you can find all the nets in your design.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 317
Chapter 7: Analyzing with HDL Analyst Finding Objects (Standard)
– Click the arrow to move the selected objects over to the box on the
right.
3. In the Object Query dialog box, click on an object in the box on the right.
Note that Find only adds to the current selection; it does not deselect anything
that is already selected. you can use successive searches to build up exactly
the selection you need, before filtering.
See Viewing Design Hierarchy and Context, on page 337 and Filtering
Schematics, on page 340 for details. With a filtered view, the software
only searches the filtered instances, unless you set the scope of the
search to Entire Design, as described below, in which case Find searches
the entire design.
You can use the filtering technique to restrict your search to just one
schematic sheet. Select all the objects on one sheet and filter the view.
Continue with the procedure.
2. To further restrict the range of the search, hide instances you do not
need.
You can do this in addition to filtering the view, or instead of filtering the
view. Hidden instances and their hierarchy are excluded from the
search. When you have finished the search, use the Unhide Instances
command to make the hierarchy visible again.
LO box.
3. Open the Object Query dialog
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
318 Synopsys Confidential Information January 2024
Finding Objects (Standard) Chapter 7: Analyzing with HDL Analyst
– Do one of the following: right click in the RTL or Technology view and
select Find from the popup menu, press Ctrl-f, or click the Find icon
( ).
– Reposition the dialog box so you can see both your schematic and the
dialog box.
4. Select the tab for the type of object. The Unhighlighted box on the left lists
all objects of that type (instances, symbols, nets, or ports).
For fastest results, search by Instances rather than Nets. When you select
Nets, the software loads the whole design, which could take some time.
5. Click one of these buttons to set the hierarchical range for the search:
Entire Design, Current Level & Below, or Current Level Only, depending on the
hierarchical level of the design to which you want to restrict your search.
The range setting is especially important when you use wildcards. See
Effect of Hierarchy and Range on Wildcard Searches, on page 321 for
details. Current Level Only or Current Level & Below are useful for searching
filtered schematics or critical path schematics.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 319
Chapter 7: Analyzing with HDL Analyst Finding Objects (Standard)
Use Entire Design to hierarchically search the whole design. For large
hierarchical designs, reduce the scope of the search by using the
techniques described in the first step.
The Unhighlighted box shows available objects within the scope you set.
Objects are listed in alphabetical order, not hierarchical order.
6. To search for objects in the mapped database or the output netlist, set
the Name Space option.
7. Do the following to select objects from the list. To use wildcards in the
selection, see the next step.
– Click the objects you want from the list. If length makes it hard to
read a name, click the name in the list to cause the software to
display the entire name in the field at the bottom of the dialog box.
– Click Find 200 or Find All. The former finds the first 200 matches, and
then you can click the button again to find the next 200.
– Click the right arrow to move the objects into the box on the right, or
double-click individual names.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
320 Synopsys Confidential Information January 2024
Finding Objects (Standard) Chapter 7: Analyzing with HDL Analyst
– Click the right arrow to move the selections to the box on the right, or
double-click individual names. The schematic displays highlighted
objects in red.
You can use wildcards to avoid typing long pathnames. Start with a
general pattern, and then make it more specific. The following example
browses and uses wildcards successively to narrow the search.
Note that there are some differences when you specify the find command
in the RTL view, Technology view, or the constraint file.
9. You can leave the dialog box open to do successive Find operations. Click
OK or Cancel to close the dialog box when you are done.
For detailed information about the Find command and the Object Query
dialog box, see Find Command (HDL Analyst), on page 319 of the Reference
Manual.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 321
Chapter 7: Analyzing with HDL Analyst Finding Objects (Standard)
Dots match hierarchy separators, unless you use the backslash escape
character in front of the dot (\.). Hierarchical search patterns with a dot
(l*.*) are repeated at each level included in the scope. If you use the *.*
pattern with Current Level, the software matches non-hierarchical names
at the current level that include a dot.
• Search range
The scope of the search determines the starting point for the searches.
Some times the starting point might make it appear as if the wildcards
cross hierarchical boundaries. If you are at 2A in the following figure
and the scope of the search is set to Current Level and Below, separate
searches start at 2A, 3A1, and 3A2. Each search does not cross hierar-
chical boundaries. If the scope of the search is Entire Design, the wildcard
searches run from each hierarchical point (1, 2A, 2B, 3A1, 3A2, 3B1,
3B2, and 3B3). The result of an asterisk search (*) with Entire Design is a
list of all matches in the design, regardless of the current level.
1 Entire Design
Current Current
2A 2B
Level and Level
Below
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
322 Synopsys Confidential Information January 2024
Finding Objects (Standard) Chapter 7: Analyzing with HDL Analyst
Entire Design Starts at top level and uses the pattern to search from that
level. It then moves to any child levels below the top level and
searches them. The software repeats the search pattern at
each hierarchical point in the design until it searches the
entire design.
Current Level Starts at the current hierarchical level and searches that level
only. A search started at 2A only covers 2A.
Current Level Starts at the current hierarchical level and searches that level.
and Below It then moves to any child levels below the starting point and
conducts separate searches from each of these starting points.
2. The software applies the wildcard pattern to all applicable objects within
the range. For Current Level and Current Level and Below, the current level
determines the starting point.
Dots match hierarchy separators, unless you use the backslash escape
character in front of the dot (\.). Hierarchical search patterns with a dot
(l*.*) are repeated at each level included in the scope. See Effect of
Hierarchy and Range on Wildcard Searches, on page 321 and Wildcard
Search Examples, on page 323 for details and examples, respectively. If
you use the *.* pattern with Current Level, the software matches
non-hierarchical names at the current level that include a dot.
2A 2B
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 323
Chapter 7: Analyzing with HDL Analyst Finding Objects (Standard)
find {*.*.abc.*.*.addr_reg[*]}
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
324 Synopsys Confidential Information January 2024
Finding Objects (Standard) Chapter 7: Analyzing with HDL Analyst
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 325
Chapter 7: Analyzing with HDL Analyst Finding Objects (Standard)
1. Select the output netlist file option in the Implementations Results tab of the
Implementation Options dialog box.
2. After you synthesize your design, open your output netlist file and select
the name of the object you want to find.
4. In the Technology view, press Ctrl-f or select Edit->Find to open the Object
Query dialog box and do the following:
– Paste the object name you copied into the Highlight Search field.
– Set the Name Space option to Netlist and click Find All.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
326 Synopsys Confidential Information January 2024
Finding Objects (Standard) Chapter 7: Analyzing with HDL Analyst
If you leave the Name Space option set to the default of Tech View, the
tool does not find the name because it is searching the mapped
database instead of the output netlist.
– Double click the name to move it into the Highlighted field and close the
dialog box.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 327
Chapter 7: Analyzing with HDL Analyst Finding Objects (Standard)
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
328 Synopsys Confidential Information January 2024
Crossprobing (Standard) Chapter 7: Analyzing with HDL Analyst
Crossprobing (Standard)
Crossprobing is the process of selecting an object in one view and having the
object or the corresponding logic automatically highlighted in other views.
Highlighting a line of text, for example, highlights the corresponding logic in
the schematic. Crossprobing helps you visualize where coding changes or
timing constraints might help to reduce area or improve performance.
You can crossprobe between the RTL view, Technology view, the FSM Viewer,
the log file, the source files, and some external text files from place-and-route
tools. However, not all objects or source code crossprobe to other views,
because some source code and RTL view logic is optimized away during the
compilation or mapping processes.
This section describes how to crossprobe from different views. It includes the
following:
• Crossprobing within an RTL/Technology View, on page 329
• Crossprobing from the RTL/Technology View, on page 330
• Crossprobing from the Text Editor Window, on page 331
• Crossprobing from the Tcl Script Window, on page 334
• Crossprobing from the FSM Viewer, on page 334
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 329
Chapter 7: Analyzing with HDL Analyst Crossprobing (Standard)
In this example, when you select the DECODE module in the Hierarchy
Browser, the DECODE module is automatically selected in the RTL view.
The software automatically highlights the object in all open views. If the
open view is a schematic, the software highlights the object in the
Hierarchy Browser on the left as well as in the schematic. If the
highlighted object is on another sheet of a multi-sheet schematic, the
view does not automatically track to the page. If the crossprobed object
is inside a hidden instance, the hidden instance is highlighted in the
schematic.
If the open view is a source file, the software tracks to the appropriate
LO following figure shows crossprobing between
code and highlights it. The
the RTL, Technology, and Text Editor (source code) views.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
330 Synopsys Confidential Information January 2024
Crossprobing (Standard) Chapter 7: Analyzing with HDL Analyst
2. To crossprobe from the RTL or Technology view to the source file when
the source file is not open, double-click the object in the RTL or
Technology view.
The following table summarizes the crossprobing capability from the RTL or
Technology view.
From To Procedure
RTL Source code Double-click an object. If the source code file is not
open, the software opens the Text Editor window to
the appropriate section of code. If the source file is
already open, the software scrolls to the correct
section of the code and highlights it.
RTL Technology The Technology view must be open. Click the object
to highlight and crossprobe.
RTL FSM Viewer The FSM view must be open. The state machine
must be coded with a onehot encoding style. Click
the FSM to highlight and crossprobe.
Technology Source code If the source code file is already, open, the software
scrolls to the correct section of the code and
highlights it.
If the source code file is not open, double-click an
object in the Technology view to open the source
code file.
Technology RTL The RTL view must be open. Click the object to
highlight and crossprobe.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 331
Chapter 7: Analyzing with HDL Analyst Crossprobing (Standard)
2. To crossprobe from an error, warning, or note in the html log file, click
the file name to open the corresponding source code in another Text
Editor window; to crossprobe from a text log file, double-click the text of
the error, warning, or note.
3. To crossprobe from a third-party text file (not source code or a log file),
select Options->HDL Analyst Options->General, and enable Enhanced text
crossprobing.
4. Select the appropriate portion of text in the Text Editor window. In some
cases, it may be necessary to select an entire block of text to crossprobe.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
332 Synopsys Confidential Information January 2024
Crossprobing (Standard) Chapter 7: Analyzing with HDL Analyst
– To further filter the objects in the path, right-click and choose Select
From from the popup menu. On the form, check the objects you want,
and click OK. Only the corresponding objects are highlighted.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 333
Chapter 7: Analyzing with HDL Analyst Crossprobing (Standard)
3. To isolate and view only the selected objects, do this in the Technology
view: press F12, or right-click and select the Filter Schematic command
from the popup menu.
To crossprobe from the Tcl Script window to the source code, double-click a
line in the Tcl window. To crossprobe a warning or error, first click the
Messages tab and then double-click the warning or error. The software opens
the relevant source code file and highlights the corresponding code.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
334 Synopsys Confidential Information January 2024
Crossprobing (Standard) Chapter 7: Analyzing with HDL Analyst
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 335
Chapter 7: Analyzing with HDL Analyst Analyzing With the Standard HDL Analyst Tool
To analyze information, compare the current view with the information in the
RTL/Technology view, the log file, the FSM view, and the source code, you
can use techniques like crossprobing, flattening, and filtering. See the
following for more information about analysis techniques.
• Viewing Design Hierarchy and Context, on page 337
• Filtering Schematics, on page 340
• Expanding Pin and Net Logic, on page 342
• Expanding and Viewing Connections, on page 346
• Flattening Schematic Hierarchy, on page 347
• Minimizing Memory Usage While Analyzing Designs, on page 351
For additional information about navigating the HDL Analyst views or using
other techniques like crossprobing, see the following:
• Working in the Standard Schematic, on page 295
• Exploring Design Hierarchy
LO (Standard), on page 308
• Finding Objects (Standard), on page 316
• Crossprobing (Standard), on page 329
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
336 Synopsys Confidential Information January 2024
Analyzing With the Standard HDL Analyst Tool Chapter 7: Analyzing with HDL Analyst
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 337
Chapter 7: Analyzing with HDL Analyst Analyzing With the Standard HDL Analyst Tool
Before you save a design with hidden instances, select Unhide Instances
from the HDL Analyst menu or the right-click popup menu and make the
hidden internal hierarchy accessible again. Otherwise, the hidden
instances are saved as black boxes, without their internal logic.
Conversely, you can use this feature to reduce the scope of analysis in a
large design by hiding instances you do not need, saving the reduced
design to a new name, and then analyzing it.
3. To view the internal logic of a hierarchical instance, you can push into
the instance, dissolve the selected instance with the Dissolve Instances
command, or flatten the design. You cannot use these methods to view
the internal logic of a hidden
LO instance.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
338 Synopsys Confidential Information January 2024
Analyzing With the Standard HDL Analyst Tool Chapter 7: Analyzing with HDL Analyst
Pushing into Generates a view that shows only the internal logic. You do not
an instance see the internal hierarchy in context. To return to the previous
view, click Back. See Exploring Object Hierarchy by
Pushing/Popping , on page 309 for details.
Flattening Opens a new view where the entire design is flattened, except
the entire for hidden hierarchy. Large flattened designs can be
design overwhelming. See Flattening Schematic Hierarchy , on
page 347 for details about flattening designs.
Because this is a new view, you cannot use Back to return to
the previous view. To return to the top-level unflattened
schematic, right-click in the view and select Unflatten Schematic.
Flattening Generates a view where the hierarchy of the selected instances
an instance is flattened, but the rest of the design is unaffected. This
by dissolving provides context. See Flattening Schematic Hierarchy , on
page 347 for details about dissolving instances.
If there is too much internal logic to display in the current view, the
software puts the internal hierarchy on separate schematic sheets. It
displays a hollow box with no internal logic and indicates the schematic
sheets that contain the internal logic.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 339
Chapter 7: Analyzing with HDL Analyst Analyzing With the Standard HDL Analyst Tool
Filtering Schematics
Filtering is a useful first step in analysis, because it focuses analysis on the
relevant parts of the design. Some commands, like the Expand commands,
automatically generate filtered views; this procedure only discusses manual
filtering, where you use the Filter Schematic command to isolate selected
objects. See Chapter 3 of the Reference Manual for details about these
commands.
1. Select the objects that you want to isolate. For example, you can select
two connected objects.
If you filter a hidden instance, the software does not display its internal
hierarchy when you filter the design. The following example illustrates
this.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
340 Synopsys Confidential Information January 2024
Analyzing With the Standard HDL Analyst Tool Chapter 7: Analyzing with HDL Analyst
– Press the right mouse button and draw a narrow V-shaped mouse
stroke in the schematic window. See Help->Mouse Stroke Tutor for
details.
The software filters the design and displays the selected objects in a
filtered view. The title bar indicates that it is a filtered view. Hidden
instances have an H in the lower left. The view displays other hierar-
chical instances as hollow boxes with nested internal logic (transparent
instances). For descriptions of filtered views and transparent instances,
see Filtered and Unfiltered Schematic Views, on page 85 and Transparent
and Opaque Display of Hierarchical Instances, on page 91in the Refer-
ence Manual. If the transparent instance does not display internal logic,
use one of the alternatives described in Viewing Design Hierarchy and
Context, on page 337, step 4.
3. If the filtered view does not display the pin names of technology
primitives and transparent instances that you want to see, do the
following:
– Select Options->HDL Analyst Options->Text and enable Show Pin Name.
– To temporarily display a pin name, move the cursor over the pin. The
name is displayed as long as the cursor remains over the pin.
Alternatively, select a pin. The software displays the pin name until
you make another selection. Either of these options can be applied to
individual pins. Use them to view just the pin names you need and
keep design clutter to a minimum.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 341
Chapter 7: Analyzing with HDL Analyst Analyzing With the Standard HDL Analyst Tool
– To see all the hierarchical pins, select the instance, right-click, and
select Show All Hier Pins.
You can now analyze the problem, and do operations like the following:
Trace paths, build up logic See Expanding Pin and Net Logic , on page 342
and Expanding and Viewing Connections , on
page 346
Filter further Select objects and filter again
Find objects See Finding Objects (Standard) , on page 316
Flatten, or hide and flatten See Flattening Schematic Hierarchy , on
page 347. You can hide transparent or opaque
instances.
Crossprobe from filtered See Crossprobing from the RTL/Technology
view View , on page 330
4. To return to the previous schematic, click the Back icon. If you flattened
the hierarchy, right-click and select Unflatten Schematic to return to the
top-level unflattened view.
Use the Expand commands with the Filter Schematic, Hide Instances, and Flatten
commands to isolate just the logic that you want to examine. Filtering
isolates logic, flattening removes hierarchy, and hiding instances prevents
their internal hierarchy from being expanded. See Filtering Schematics, on
page 340 and Flattening Schematic Hierarchy, on page 347 for details.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
342 Synopsys Confidential Information January 2024
Analyzing With the Standard HDL Analyst Tool Chapter 7: Analyzing with HDL Analyst
The software expands the logic as specified, working on the current level
and below or working up the hierarchy, crossing hierarchical bound-
aries as needed. Hierarchical levels are shown nested in hollow
bounding boxes. The internal hierarchy of hidden instances is not
displayed.
2. To expand logic from a pin at the current level only, do the following:
– Select a pin, and go to the HDL Analyst->Current Level menu or the
right-click popup menu->Current Level.
– Select Expand or Expand to Register/Ports. The commands work as
described in the previous step, but they do not cross hierarchical
boundaries.
3. To expand logic from a net, use the commands shown in the following
table.
– To expand at the current level and below, select the commands from
the HDL Analyst->Hierarchical menu or the right-click popup menu.
– To expand at the current level only, select the commands from the
HDL Analyst->Current Level menu or the right-click popup menu->Current
Level.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 343
Chapter 7: Analyzing with HDL Analyst Analyzing With the Standard HDL Analyst Tool
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
344 Synopsys Confidential Information January 2024
Analyzing With the Standard HDL Analyst Tool Chapter 7: Analyzing with HDL Analyst
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 345
Chapter 7: Analyzing with HDL Analyst Analyzing With the Standard HDL Analyst Tool
Use the following path commands with the Filter Schematic and Hide Instances
commands to isolate just the logic that you want to examine. The two
techniques described here differ: Expand Paths expands connections between
selected objects, while Isolate Paths pares down the current view to only
display connections to and from the selected instance.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
346 Synopsys Confidential Information January 2024
Analyzing With the Standard HDL Analyst Tool Chapter 7: Analyzing with HDL Analyst
Starting Point The Filtered View Traces Paths (Forward and Back) From All
Pins of the Selected Instance...
Filtered view Traces through all sheets of the filtered view, up to the next
port, register, hierarchical instance, or black box.
Unfiltered view Traces paths on the current schematic sheet only, up to the
next port, register, hierarchical instance, or black box.
Unlike the Expand Paths command, the connections are based on the
schematic used as the starting point; the software does not add any
objects that were not in the starting schematic.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 347
Chapter 7: Analyzing with HDL Analyst Analyzing With the Standard HDL Analyst Tool
1. To flatten an entire design down to logic cells, use one of the following
commands:
– For an RTL view, select HDL Analyst->RTL->Flattened View. This flattens
the design to generic logic cells.
– For a Technology view, select Flattened View or Flattened to Gates View
from the HDL Analyst->Technology menu. Use the former command to
flatten the design to the technology primitive level, and the latter
command to flatten it further to the equivalent Boolean logic.
Unless you really require the entire design to be flattened, use Push/Pop
mode and the filtering commands (Filtering Schematics, on page 340) to
view the hierarchy. Alternatively, you can use one of the selective
flattening techniques described in subsequent steps.
The software generates a new view of the current schematic in the same
window, with all transparent instances at the current level and below
flattened. RTL schematics are flattened down to generic logic cells and
Technology views down to technology primitives. To control the number
of hierarchical levels that are flattened, use the Dissolve Instances
command described in step 4.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
348 Synopsys Confidential Information January 2024
Analyzing With the Standard HDL Analyst Tool Chapter 7: Analyzing with HDL Analyst
Because the flattened view is a new view, you cannot use Back to return
to the unflattened view or the views before it. Use Unflatten Schematic to
return to the unflattened top-level view.
Use this technique if you want to flatten most of your design. If you want
to flatten only part of your design, use the approach described in the
next step.
When you hide instances, the software generates a new view where the
hidden instances are not flattened, but marked with an H in the lower
left corner. The rest of the design is flattened. If unhidden hierarchical
instances are not flattened by this procedure, use the Flattened View or
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 349
Chapter 7: Analyzing with HDL Analyst Analyzing With the Standard HDL Analyst Tool
You can select the hidden instances, right-click, and select Unhide
Instances to make their hierarchy accessible again. To return to the
unflattened top-level view, right-click in the schematic and select
Unflatten Schematic.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
350 Synopsys Confidential Information January 2024
Analyzing With the Standard HDL Analyst Tool Chapter 7: Analyzing with HDL Analyst
Use this technique if you only want to flatten part of your design while
retaining the hierarchical context. If you want to flatten most of the
design, use the technique described in the previous step. Instead of
dissolving instances, you can use a combination of the filtering
commands and Push/Pop mode.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 351
Chapter 7: Analyzing with HDL Analyst Analyzing With the Standard HDL Analyst Tool
because the software does not load the hierarchy of the hidden
instances.
• Temporarily divide your design into smaller working files. Before you do
any analysis, hide the instances you do not need. Save the design. The
srs and srm files generated are smaller because the software does not
save the hidden hierarchy. Close any open HDL Analyst windows to free
all memory from the large design. In the Implementation Results view,
double-click one of the smaller files to open the RTL or Technology
schematic. Analyze the design using the smaller, working schematics.
• Filter your design instead of flattening it. If you must flatten your design,
hide the instances whose hierarchy you do not need before flattening, or
use the Dissolve Instances command. See Flattening Schematic Hierarchy,
on page 347 for details. For more information on the Expand Paths and
Isolate Paths commands, see RTL and Technology Views Popup Menus, on
page 485 of the Reference Manual.
• When searching your design, search by instance rather than by net.
Searching by net loads the entire design, which uses memory.
• Limit the scope of a search by hiding instances you do not need to
analyze. You can limit the scope further by filtering the schematic in
addition to hiding the instances you do not want to search.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
352 Synopsys Confidential Information January 2024
Using the FSM Viewer (Standard) Chapter 7: Analyzing with HDL Analyst
1. To start the FSM viewer, open the RTL view and either
– Select the FSM instance, click the right mouse button and select View
FSM from the popup menu.
– Push down into the FSM instance (Push/Pop icon).
The FSM viewer opens. The viewer consists of a transition bubble
diagram and a table for the encodings and transitions. If you used
Verilog to define the FSMs, the viewer displays binary values for the
state machines if you defined them with the ‘define keyword, and actual
names if you used the parameter keyword.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 353
Chapter 7: Analyzing with HDL Analyst Using the FSM Viewer (Standard)
This figure shows you the mapping information for a state machine. The
Transitions tab shows you simple equations for conditions for each state.
The RTL Encodings tab has a State column that shows the state names in
the source code, and a Registers column for the corresponding RTL
encoding. The Mapped Encoding tab shows the state names in the code
mapped to actual values.
The transition diagram now shows only the filtered states you set. The
following figure shows filtered views for output and input transitions for
one state.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
354 Synopsys Confidential Information January 2024
Using the FSM Viewer (Standard) Chapter 7: Analyzing with HDL Analyst
Similarly, you can check the relationship between two or more states by
selecting the states, filtering them, and checking their properties.
To view the properties for the entire state machine like encoding style,
number of states, and total number of transitions between states,
deselect any selected states, click the right mouse button outside the
diagram area, and select Properties from the popup menu.
5. To view the FSM description in text format, select the state machine in
the RTL view and View FSM Info File from the right mouse popup. This is
an example of the FSM Info File, statemachine.info.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 355
Chapter 7: Analyzing with HDL Analyst Using the FSM Viewer (Standard)
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
356 Synopsys Confidential Information January 2024
CHAPTER 8
Analyzing Timing
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 357
Chapter 8: Analyzing Timing Analyzing Timing in Schematic Views
This displays the timing numbers for all instances in a Technology view.
It shows the following:
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
358 Synopsys Confidential Information January 2024
Analyzing Timing in Schematic Views Chapter 8: Analyzing Timing
1. On the Device tab of the Implementation Options dialog box, enable Annotated
Properties for Analyst.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 359
Chapter 8: Analyzing Timing Analyzing Timing in Schematic Views
4. Once you have annotated your design, you can filter searches using
these properties with the find command.
– Use the find -filter {@propName>=propValue} command for the searches.
See Find Filter Properties, on page 155 in the Command Reference
Manual for a list of properties. For information about the find
command, see find, on page 147 in the Command Reference Manual.
– Precede the property name with the @ symbol.
For example to find fanouts larger than 60, specify find -filter {@fanout>=60}.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
360 Synopsys Confidential Information January 2024
Analyzing Timing in Schematic Views Chapter 8: Analyzing Timing
1. In the Hierarchy Browser, expand Clock Tree, select all the clocks, and
filter the design.
The Hierarchy Browser lists all clocks and the instances that drive them
under Clock Tree. The filtered view shows the selected objects.
For details about the commands for filtering and expanding paths, see
Filtering Schematics, on page 340, Expanding Pin and Net Logic, on
page 342 and Expanding and Viewing Connections, on page 346.
3. Check that your defined clock constraints cover the objects in the
design.
If you do not define your clock constraints accurately, you might not get
the best possible synthesis optimizations.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 361
Chapter 8: Analyzing Timing Analyzing Timing in Schematic Views
2. Display the critical path using one of the following methods. The
Technology view displays a hierarchical view that highlights the
instances and nets in the most critical path of your design.
– To generate a hierarchical view of the critical path, click the Show
Critical Path icon (stopwatch icon ( ), select HDL
Analyst->Technology->Hierarchical Critical Path, or select the command from
the popup menu. This is a filtered view in the same window, with
hierarchical logic shown in transparent instances. History commands
apply, so you can return to the previous view by clicking Back.
– To flatten the hierarchical critical path described above, right-click
and select Flatten Schematic. The software generates a new view in the
current window, and flattens only the transparent instances needed
to show the critical path; the rest of the design remains hierarchical.
Click Back to go the top-level design.
– To generate a flattened critical path in a new window, select HDL
Analyst->Technology->Flattened Critical Path. This command uses more
memory because it flattens the entire design and generates a new
view for the flattened critical path in a new window. Click Back in this
window to go to the flattened top-level design or to return to the
previous window.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
362 Synopsys Confidential Information January 2024
Analyzing Timing in Schematic Views Chapter 8: Analyzing Timing
3. Use the timing numbers displayed above each instance to analyze the
path. If no numbers are displayed, enable HDL Analyst->Show Timing
Information. Interpret the numbers as follows:
4. View instances in the critical path that have less than the worst-case
slack time. For additional information on handling slack times, see
Handling Negative Slack, on page 364.
If necessary change the slack margin and regenerate the critical path.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 363
Chapter 8: Analyzing Timing Analyzing Timing in Schematic Views
5. Crossprobe and check the RTL view and source code. Analyze the code
and the schematic to determine how to address the problem. You can
add more constraints or make code changes.
6. Click the Back icon to return to the previous view. If you flattened your
design during analysis, select Unflatten Schematic to return to the top-level
design.
If you have fixed the path, the window displays the next most critical
path when you click the icon.
Repeat this procedure and fix the design for the remaining critical paths.
When you are within 5-10 percent of your desired results, place and
route your design to see if you meet your goal. If so, you are done. If your
vendor provides timing-driven place and route, you might improve your
results further by adding timing constraints to place and route.
The following procedure shows you how to add constraints to correct negative
slack values. Timing constraints can improve your design by 10 to 20
percent.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
364 Synopsys Confidential Information January 2024
Analyzing Timing in Schematic Views Chapter 8: Analyzing Timing
– Check the end points of the path. The start point can be a primary
input or a flip-flop. The end point can be a primary output or a
flip-flop.
– Examine the instances. Use the commands described in Expanding
Pin and Net Logic, on page 342 and Expanding and Viewing
Connections, on page 346. For more information on filtering
schematics, see Filtering Schematics, on page 340.
If there are fewer start points, pick a start point to add the constraint. If
there are fewer end points, add the constraint to an end point.
4. If your design does not meet timing by 20 percent or more, you may
need to make structural changes. You could do this by doing either of
the following:
– Enabling options like retiming (Retiming, on page 406), or resource
sharing (Sharing Resources, on page 422).
– Modifying the source code.
5. Rerun synthesis and check your results.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 365
Chapter 8: Analyzing Timing Generating Custom Timing Reports with STA
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
366 Synopsys Confidential Information January 2024
Generating Custom Timing Reports with STA Chapter 8: Analyzing Timing
4. Analyze results.
– View the report (Open Report) in the Text Editor. The following figure is
a sample report showing analysis results based on maximum delay
for the worst paths.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 367
Chapter 8: Analyzing Timing Generating Custom Timing Reports with STA
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
368 Synopsys Confidential Information January 2024
Using Analysis Design Constraints Chapter 8: Analyzing Timing
The advantage to using analysis design constraints (ADC) is that you do not
have to resynthesize the whole design. This reduces debugging time because
you can get a quick estimate, or try out different values. The Standalone
Timing Analyst (STA) puts these constraints in an Analysis Design
Constraints file (adc). The process for using this file is summarized in the
following flow diagram:
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 369
Chapter 8: Analyzing Timing Using Analysis Design Constraints
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
370 Synopsys Confidential Information January 2024
Using Analysis Design Constraints Chapter 8: Analyzing Timing
1. Select File->New.
– Type a name and location for the file. The tool automatically assigns
the adc extension to the filename.
– Enable Add to Project, and click OK. This opens the text editor where
you can specify the new constraints.
3. Type in the constraints you want and save the file. Remember the
following when you enter the constraints:
– Keep in mind that the original fdc file has already been applied to the
design. Any timing exception constraints in this file must not conflict
with constraints that are already in effect. For example, if there is a
conflict when multiple timing exceptions (false path, path delay, and
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 371
Chapter 8: Analyzing Timing Using Analysis Design Constraints
multicycle timing constraints) are applied to the same path, the tool
uses this order to resolve conflicts: false path, multicycle path, max
delay. See Conflict Resolution for Timing Exceptions, on page 256 for
details about how the tool prioritizes timing exceptions.
– The object names must be mapped object names, so use names from
the Technology view, not names from the RTL view. Unlike the
constraint file (RTL view), the adc constraints apply to the mapped
database because the database is not remapped with this flow. For
more information, see Using Object Names Correctly in the adc File, on
page 375.
– If you want to modify an existing constraint for a timing exception,
you must first reset the original fdc constraint, and then apply the
new constraint. In the following example the multicycle path
constraint was changed to 3:
– When you are done, save and close the file. This adds the file to your
project.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
372 Synopsys Confidential Information January 2024
Using Analysis Design Constraints Chapter 8: Analyzing Timing
– You can create multiple adc files for different purposes. For example,
you might want to keep timing exception constraints, I/0 constraints,
and clock constraints in separate files. If you have an existing adc file,
use the Add File command to add this file to your project. Select
Analysis Design Constraint Files (*.adc) as the file type.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 373
Chapter 8: Analyzing Timing Using Analysis Design Constraints
– If you have multiple adc files, enable the ones you want.
– If you have a previous run and want to save that report, type a new
name for the output ta file. If you do not specify a name, the tool
overwrites the previous report.
– Fill in other parameters as appropriate, and click Generate.
The tool runs static timing analysis in the same implementation direc-
tory as the original implementation. The tool applies the adc constraints
on top of the fdc constraints. Therefore, adc constraints affect timing
results only if there are no conflicts with fdc constraints.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
374 Synopsys Confidential Information January 2024
Using Analysis Design Constraints Chapter 8: Analyzing Timing
The standalone timing analyst does not map objects. It just reads the
gate-level object names from the post-mapping database; this is reflected in
the Technology view. Therefore, you must define objects either explicitly or
with collections from the Technology view when you enter constraints into the
adc file. Do not use RTL names when you create these constraints (see
Creating an ADC File, on page 371 for details of that process).
Example
Assume that register en_reg is replicated during mapping to reduce fanout.
Further, registers en_reg and en_reg_rep2 connect to register dataout[31:0]. In
this case, if you define the following false path constraint in the adc file, then
the standalone timing analyzer does not automatically treat paths from the
replicated register en_reg_rep2 as false paths.
Unlike constraints in the fdc file, you must specify this replicated register
explicitly or as a collection. Only then are all paths properly treated as false
paths. So in this example, you must define the following constraints in the
adc file:
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 375
Chapter 8: Analyzing Timing Using Auto Constraints
– Do not define any clocks. If you define clocks using the SCOPE
window or a constraint file, or set the frequency in the Project view,
the software uses the user-defined create_clock constraints instead of
auto constraints.
– Make sure any multi-cycle or false path constraints are specified on
registers.
2. Enable the Auto Constrain button on the left side of the Project view.
Alternatively, select Project->Implementation Options->Constraints, and enable
the Auto Constrain option there.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
376 Synopsys Confidential Information January 2024
Using Auto Constraints Chapter 8: Analyzing Timing
If you do not enable this option, the software only auto constrains
flop-to-flop paths. Even when the software auto constrains the I/O
paths, it does not generate these constraints for forward-annotation.
The software puts each clock in a separate clock group and adjusts the
timing of each clock individually. At different points during synthesis it
adjusts the clock period of each clock to be a target percentage of the
current clock period, usually 15% - 25%.
After the clocks, the timing engine constrains I/O paths by setting the
default combinational path delay for each I/O path to be one clock
period.
The software writes out the generated constraints in a file called AutoCon-
straint_designName.sdc in the run directory. It also forward-annotates
these constraints to the place-and-route tools.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 377
Chapter 8: Analyzing Timing Using Auto Constraints
6. You can now add this generated constraint file to the project and rerun
synthesis with these constraints.
4. For each clock, including the system clock, the software maintains a
negative slack of between 15 and 25 percent of the requested frequency.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
378 Synopsys Confidential Information January 2024
Using Auto Constraints Chapter 8: Analyzing Timing
The software also generates a constraint file in the run directory called
AutoConstraint_designName.sdc, which contains the auto constraints generated.
The following is an example of an auto constraint file:
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 379
Chapter 8: Analyzing Timing Using Auto Constraints
Repeatability of Results
If you use the requested frequency resulting from the Auto constrain option as
the requested frequency for a regular synthesis run, you might not get the
same results as you did with auto constraints. This is because the software
invokes the mapper optimizations in stages when it auto constrains. The
results from a previous stage are used to drive the next stage. As the interim
optimization results vary, there is no guarantee that the final results will stay
the same.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
380 Synopsys Confidential Information January 2024
CHAPTER 9
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 381
Chapter 9: Inferring High-Level Objects Defining Black Boxes for Synthesis
The following process shows you how to instantiate both types as black
boxes. Refer to the installDirectory/examples directory for examples of instantia-
tions of low-level resources.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
382 Synopsys Confidential Information January 2024
Defining Black Boxes for Synthesis Chapter 9: Inferring High-Level Objects
module BBDLHS(D,E,GIN,GOUT,PAD,Q)
/* synthesis syn_black_box black_box_pad_pin="PAD"
– Make an instance of the stub in your design.
– Compile the stub along with the module containing the instantiation
of the stub.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 383
Chapter 9: Inferring High-Level Objects Defining Black Boxes for Synthesis
4. Add timing constraints and attributes as needed. See Adding Black Box
Timing Constraints, on page 386 and Adding Other Black Box Attributes,
on page 390.
5. After synthesis, merge the black box netlist and the synthesis results file
using the method specified by your vendor.
The following process shows you how to instantiate both types as black
boxes. Refer to the installDirectory/examples directory for examples of instantia-
tions of low-level resources.
library family;
use family.components.all;
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
384 Synopsys Confidential Information January 2024
Defining Black Boxes for Synthesis Chapter 9: Inferring High-Level Objects
library synplify;
use synplify.attributes.all;
...
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 385
Chapter 9: Inferring High-Level Objects Defining Black Boxes for Synthesis
component mybuf
port(O: out bit; I: in bit);
end component;
attribute black_box_pad_pin of mybuf: component is "I";
– Instantiate the pad and connect the signals.
begin
data_pad: mybuf port map (
O => data_core,
I => data);
4. Add timing constraints and attributes. See Adding Black Box Timing
Constraints, on page 386 and Adding Other Black Box Attributes, on
page 390.
You attach black box timing constraints to instances that have been defined
as black boxes. There are three black box timing constraints, syn_tpd, syn_tsu,
and syn_tco.
Black Box
D Q
syn_tsu
clk
syn_tco
syn_tpd
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
386 Synopsys Confidential Information January 2024
Defining Black Boxes for Synthesis Chapter 9: Inferring High-Level Objects
2. Determine the kind of constraint for the information you want to specify:
The following table shows the appropriate syntax for att_value. See the
Attribute Reference Manual for complete syntax information.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 387
Chapter 9: Inferring High-Level Objects Defining Black Boxes for Synthesis
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
388 Synopsys Confidential Information January 2024
Defining Black Boxes for Synthesis Chapter 9: Inferring High-Level Objects
input [3:0] d;
input [3:0] addr;
input we;
input clk;
endmodule
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 389
Chapter 9: Inferring High-Level Objects Defining Black Boxes for Synthesis
Black Box
Clk
Pad
syn_isclock black_box_pad_pin
1. To specify that a clock pin on the black box has access to global clock
routing resources, use syn_isclock.
2. To specify that the software need not insert a pad for a black box pin,
use black_box_pad_pin. Use this for technologies that automatically insert
pad buffers for the I/Os.
3. To define a tristate pin so that you do not get a mixed driver error when
there is another tristate buffer driving the same net, use
black_box_tri_pins.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
390 Synopsys Confidential Information January 2024
Defining State Machines for Synthesis Chapter 9: Inferring High-Level Objects
For alternative ways to define state machines, see Defining State Machines for
Synthesis, on page 391.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 391
Chapter 9: Inferring High-Level Objects Defining State Machines for Synthesis
• Specify explicit state values for states with parameter or ‘define state-
ments. This is an example of a parameter statement that sets the current
state to 2’h2:
If you use `define to assign the names, you cannot reuse a state name
because it has already been used in the global name space. To reuse the
same names in this scenario, you have to use `undef and `define state-
ments between modules to redefine the names. This method makes it
difficult to probe the internal values of FSM state buses from a
testbench and compare them to the state names.
The following are VHDL guidelines for coding. The software attaches the
syn_state_machine attribute to each extracted FSM.
• Use case statements to check the current state at the clock edge,
advance to the next state, and set output values. You can also use
LO case statements are preferable.
if-then-else statements, but
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
392 Synopsys Confidential Information January 2024
Defining State Machines for Synthesis Chapter 9: Inferring High-Level Objects
• If you do not cover all possible cases explicitly, include a when others
assignment as the last assignment of the case statement, and set the
state vector to some valid state.
• If you create implicit state machines with multiple WAIT statements, the
software does not recognize them as state machines.
• Make sure the state machines have a synchronous or asynchronous
reset to set the hardware to a valid state after power-up, or to reset the
hardware when you are operating.
• To choose an encoding style, attach the syn_encoding attribute to the
enumerated type. The software automatically encodes your state
machine with the style you specified.
The following steps show you how to manually attach attributes to define
FSMs for extraction.
To ... Attribute
Specify a state machine for extraction and syn_state_machine=1
optimization
Prevent state machines from being extracted syn_state_machine=0
and optimized
Prevent the state machine from being syn_preserve=1
optimized away
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 393
Chapter 9: Inferring High-Level Objects Defining State Machines for Synthesis
2. To determine the encoding style for the state machine, set the
syn_encoding attribute in the source code or in the SCOPE window. For
VHDL users there are alternative methods, described in the next step.
The FSM Compiler and the FSM Explorer honor the syn_encoding setting.
The different values for this attribute are briefly described here; refer to
the Attributes Reference manual for complete details.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
394 Synopsys Confidential Information January 2024
Defining State Machines for Synthesis Chapter 9: Inferring High-Level Objects
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 395
Chapter 9: Inferring High-Level Objects Initializing RAMs
Initializing RAMs
You can specify startup values for RAMs and pass them on to the
place-and-route tools. See the following topics for ways to set the initial
values:
• Initializing RAMs in Verilog, on page 396
• Initializing RAMs in VHDL, on page 397
• Initializing RAMs with $readmemb and $readmemh, on page 400
1. Create a data file with an initial value for every address in the memory
array. This file can be a binary file or a hex file. See Initialization Data
File, on page 234in the Reference Manual for details of the formats for
these files.
– Make sure the array declaration matches the order in the initial value
data file you specified. As the file is read, each number encountered is
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
396 Synopsys Confidential Information January 2024
Initializing RAMs Chapter 9: Inferring High-Level Objects
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity w_r2048x28 is
port (
clk : in std_logic;
adr : in std_logic_vector(10 downto 0);
di : in std_logic_vector(26 downto 0);
we : in std_logic;
dout : out std_logic_vector(26 downto 0));
end;
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 397
Chapter 9: Inferring High-Level Objects Initializing RAMs
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
398 Synopsys Confidential Information January 2024
Initializing RAMs Chapter 9: Inferring High-Level Objects
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity one is
generic (data_width : integer := 6;
address_width :integer := 3
);
port (data_a :in std_logic_vector(data_width-1 downto 0);
raddr1 :in unsigned(address_width-2 downto 0);
waddr1 :in unsigned(address_width-1 downto 0);
we1 :in std_logic;
clk :in std_logic;
out1 :out std_logic_vector(data_width-1 downto 0));
end;
architecture rtl of one is
type mem_array is array(0 to 2**(address_width) -1) of
std_logic_vector(data_width-1 downto 0);
begin
WRITE1_RAM : process (clk)
variable mem : mem_array := (1 => "111101", others => (1=>'1',
others => '0'));
begin
if rising_edge(clk) then
out1 <= mem(to_integer(raddr1));
if (we1 = '1') then
mem(to_integer(waddr1)) := data_a;
end if;
end if;
end process WRITE1_RAM;
end rtl;
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 399
Chapter 9: Inferring High-Level Objects Initializing RAMs
Use $readmemb for a binary file and $readmemh for a hex file. For details
about the syntax, see Initial Values for RAMs, on page 231 in the Refer-
ence Manual.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
400 Synopsys Confidential Information January 2024
CHAPTER 10
This chapter covers techniques for optimizing your design using built-in tools
or attributes. For vendor-specific optimizations, see the Appendices of the
Reference manual. It describes the following:
• Tips for Optimization, on page 402
• Retiming, on page 406
• Retiming, on page 406
• Preserving Objects from Being Optimized Away, on page 413
• Optimizing Fanout, on page 418
• Sharing Resources, on page 422
• Inserting I/Os, on page 423
• Optimizing State Machines, on page 424
• Inserting Probes, on page 432
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 401
Chapter 10: Specifying Design-Level Optimizations Tips for Optimization
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
402 Synopsys Confidential Information January 2024
Tips for Optimization Chapter 10: Specifying Design-Level Optimizations
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 403
Chapter 10: Specifying Design-Level Optimizations Tips for Optimization
You can set this option globally or on specific registers. See Retiming, on
page 406 for details.
• Select a balanced fanout constraint. A large constraint creates nets with
large fanouts, and a low fanout constraint results in replicated logic. See
Setting Fanout Limits, on page 418 for information about setting limits
and using the syn_maxfan attribute. You can use this in conjunction with
the syn_replicate attribute that controls register duplication and buffering.
• Control register duplication and buffering criteria with the syn_replicate
attribute. The tool automatically replicates registers during optimization,
and you can use this attribute globally or locally on a specific register to
turn off register duplication. See Controlling Buffering and Replication,
on page 420 for a description. Use syn_replicate in conjunction with the
syn_maxfan attribute that controls fanout.
• If the critical path goes through arithmetic components, try disabling
Resource Sharing. You can
LOget faster times at the expense of increased
area, but use this technique carefully. Adding too many resources can
cause longer delays and defeat your purpose.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
404 Synopsys Confidential Information January 2024
Tips for Optimization Chapter 10: Specifying Design-Level Optimizations
• If the P&R and synthesis tools report different critical paths, use a
timing constraint with the -route option. With this option, the software
adds route delay to its calculations when trying to meet the clock
frequency goal. Use realistic values for the constraints.
• For FSMs, use the onehot encoding style, because it is often the fastest
implementation. If a large output decoder follows an FSM, gray or
sequential encoding could be faster.
• For designs with black boxes, characterize the timing models accurately,
using the syn_tpd, syn_tco, and syn_tso directives.
• If you see warnings about feedback muxes being created for signals
when you compile your source code, make sure to assign set/resets for
the signals. This improves performance by eliminating the extra mux
delay on the input of the register.
• Make sure that you pass your timing constraints to the place-and-route
tools, so that they can use the constraints to optimize timing.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 405
Chapter 10: Specifying Design-Level Optimizations Retiming
Retiming
Retiming improves the timing performance of sequential circuits without
modifying the source code. It automatically moves registers (register
balancing) across combinational gates or LUTs to improve timing while
maintaining the original behavior as seen from the primary inputs and
outputs of the design. Retiming moves registers across gates or LUTs, but
does not change the number of registers in a cycle or path from a primary
input to a primary output. However, it can change the total number of regis-
ters in a design.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
406 Synopsys Confidential Information January 2024
Retiming Chapter 10: Specifying Design-Level Optimizations
Controlling Retiming
The following procedure shows you how to use retiming.
1. To enable retiming for the whole design, check the Retiming check box.
You can set the Retiming option from the button panel in the Project
window, or with the Project->Implementation Options command (Options tab).
The option is only available in certain technologies.
See Retiming, on page 406 for more information. For Microchip designs,
retiming does not include pipelining.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 407
Chapter 10: Specifying Design-Level Optimizations Retiming
4. Set other options for the run. Retiming might affect some constraints
and attributes. See How Retiming Works, on page 410 for details.
After the LUTs are mapped, the software moves registers to optimize
timing. See Retiming Example, on page 408 for an example. The software
honors other attributes you set, like syn_preserve, syn_useioff, and syn_ram-
style. See How Retiming Works, on page 410 for details.
Note that the tool might retime registers associated with RAMs, DSPs,
and generated clocks, regardless of whether the Retiming option is on or
off.
The log file includes a retiming report that you can analyze to under-
stand the retiming changes. It contains a list of all the registers added or
removed because of retiming. Retimed registers have a _ret suffix added
to their names. See Retiming Report, on page 409 for more information
about the report.
Retiming Example
The following example shows a design with retiming disabled and enabled.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
408 Synopsys Confidential Information January 2024
Retiming Chapter 10: Specifying Design-Level Optimizations
The top figure shows two levels of logic between the registers and the output,
and no levels of logic between the inputs and the registers.
The bottom figure shows the results of retiming the three registers at the
input of the OR gate. The levels of logic from the register to the output are
reduced from two to one. The retimed circuit has better performance than the
original circuit. Timing is improved by transferring one level of logic from the
critical part of the path (register to output) to the non-critical part (input to
register).
Retiming Report
The retiming report is part of the log file, and includes the following:
• The number of registers added, removed, or untouched by retiming.
• Names of the original registers that were moved by retiming and which
no longer exist in the Technology view.
• Names of the registers created as a result of retiming, and which did not
exist in the RTL view. The added registers have a _ret suffix.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 409
Chapter 10: Specifying Design-Level Optimizations Retiming
Attribute/Constraint Effect
False path constraint Does not retime flip-flops with different false path
constraints. Retimed registers affect timing
constraints.
Multicycle constraint Does not retime flip-flops with different multicycle
constraints. Retimed registers affect timing
constraints.
Register constraint LO
Does not maintain set_reg_input_delay and
set_reg_output_delay constraints. Retimed registers
affect timing constraints.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
410 Synopsys Confidential Information January 2024
Retiming Chapter 10: Specifying Design-Level Optimizations
Attribute/Constraint Effect
from/to timing If you set a timing constraint using a from/to
exceptions specification on a register, it is not retimed. The
exception is when using a max_delay constraint. In
this case, retiming is performed but the constraint is
not forward annotated. (The max_delay value would
no longer be valid.)
syn_hier=macro Does not retime registers in a macro with this
attribute.
syn_keep Does not retime across keepbufs generated because
of this attribute.
syn_pipeline Automatically enabled if retiming is enabled.
syn_probe Does not retime net drivers with this attribute. If the
net driver is a LUT or gate, no flip-flops are retimed
across it.
syn_reference_clock On a critical path, does not retime registers with
different syn_reference_clock values together, because
the path effectively has two different clock domains.
syn_useioff Does not override attribute-specified packing of
registers in I/O pads. If the attribute value is false,
the registers can be retimed. If the attribute is not
specified, the timing engine determines whether the
register is packed into the I/O block.
syn_allow_retiming Registers are not retimed if the value is 0.
• Retiming does not change the simulation behavior (as observed from
primary inputs and outputs) of your design, However if you are
monitoring (probing) values on individual registers inside the design,
you might need to modify your test bench if the probe registers are
retimed.
• Beginning with the C-2009.09-SP1 release, the behavior for retiming
unconstrained I/O pads has changed. If retiming is enabled, registers
connected to unconstrained I/O pins are not retimed by default. If you
want to revert back to how retiming I/O paths was previously imple-
mented, you can:
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 411
Chapter 10: Specifying Design-Level Optimizations Retiming
– Globally turn on the Use clock period for unconstrained IO switch from the
Constraints tab of the Implementation Options panel.
– Add constraints to all input/output ports.
– Separately constrain each I/O pin as required.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
412 Synopsys Confidential Information January 2024
Preserving Objects from Being Optimized Away Chapter 10: Specifying Design-Level Optimizations
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 413
Chapter 10: Specifying Design-Level OptimizationsPreserving Objects from Being Optimized Away
module redundant1(ina,inb,out1);
input ina,inb;
output out1,out2;
wire out1;
wire out2;
assign out1 = ina & inb;
assign out2 = ina & inb;
endmodule
The compiler implements the AND function by replicating the outputs out1
and out2, but optimizes away the second AND gate because it is redundant.
To replicate the AND gate in the previous example, apply syn_keep to the input
wires, as shown below:
module redundant1d(ina,inb,out1,out2);
input ina,inb;
output out1,out2;
LO
wire out1;
wire out2;
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
414 Synopsys Confidential Information January 2024
Preserving Objects from Being Optimized Away Chapter 10: Specifying Design-Level Optimizations
Setting syn_keep on the input wires ensures that the second AND gate is
preserved:
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 415
Chapter 10: Specifying Design-Level OptimizationsPreserving Objects from Being Optimized Away
You must set syn_keep on the input wires of an instance if you want to
preserve the logic, as in the replication of this AND gate. If you set it on the
outputs, the instance is not replicated, because syn_keep preserves the nets
but not the function driving the net. If you set syn_keep on the outputs in the
example, you get only one AND gate, as shown in the next figure.
1. Attach the syn_hier attribute with the value you want to the module or
architecture you want to preserve.
You can also add the attribute in SCOPE instead of the HDL code. If you
use SCOPE to enter theLO attribute, make sure to use the v: syntax. For
details, see syn_hier, on page 93 in the Attribute Reference Manual.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
416 Synopsys Confidential Information January 2024
Preserving Objects from Being Optimized Away Chapter 10: Specifying Design-Level Optimizations
This flattens the entire netlist and does not preserve any hierarchical
boundaries. See syn_netlist_hierarchy, on page 133 in the Attribute
Reference Manual for the syntax.
Preserving Hierarchy
The synthesis process includes cross-boundary optimizations that can flatten
hierarchy. To override these optimizations, use the syn_hier attribute as
described here. You can also use this attribute to direct the flattening process
as described in Controlling Hierarchy Flattening, on page 416.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 417
Chapter 10: Specifying Design-Level Optimizations Optimizing Fanout
Optimizing Fanout
You can optimize your results with attributes and directives, some of which
are specific to the technology you are using. Similarly, you can specify objects
or hierarchy that you want to preserve during synthesis. For a complete list of
all the directives and attributes, see the Attribute Reference Manual. This
section describes the following:
• Setting Fanout Limits, on page 418
• Controlling Buffering and Replication, on page 420
1. To set a global fanout limit for the whole design, do either of the
following:
– Select Project->Implementation Options->Device and type a value for the
Fanout Guide option.
– Apply the syn_maxfan attribute to the top-level view or module.
The value sets the number of fanouts for a given driver, and affects all
the nets in the design. The defaults vary, depending on the technology.
Select a balanced fanout value. A large constraint creates nets with large
fanouts, and a low fanout constraint results in replicated or buffered
logic. Both extremes affect routing and design performance. The right
value depends on your design. The same value of 32 might result in
fanouts of 11 or 12 and large delays on the critical path in one design or
in excessive replication in another design.
The software uses the value as a soft limit, or a guide. It traverses the
inverters and buffers to identify the fanout, and tries to ensure that all
fanouts are under the limit by replicating or buffering where needed (see
Controlling Buffering and Replication, on page 420 for details). However,
the synthesis tool does not respect the fanout limit absolutely; it ignores
the limit if the limit imposes
LO constraints that interfere with optimization.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
418 Synopsys Confidential Information January 2024
Optimizing Fanout Chapter 10: Specifying Design-Level Optimizations
2. To override the global fanout guideline and set a soft fanout limit at a
lower level, set the syn_maxfan attribute on modules, views, or
non-primitive instances.
These limits override the more global limits for that object. However,
these limits still function as soft limits, and are replicated or buffered, as
described in Controlling Buffering and Replication, on page 420.
For example, the software does not traverse a syn_keep buffer (inserted
as a result of the attribute), and does not optimize it. However, the
software can optimize implicit buffers created as a result of other opera-
tions; for example, it does not respect an implicit buffer created as a
result of syn_direct_enable.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 419
Chapter 10: Specifying Design-Level Optimizations Optimizing Fanout
You can control whether high fanout nets are buffered or replicated, using
the techniques described here:
• To use buffering instead of replication, set syn_replicate with a value of 0
globally, or on modules or registers. The syn_replicate attribute prevents
replication, so that the software uses buffering to satisfy the fanout
limit. For example, you can prevent replication between clock bound-
aries for a register that is clocked by clk1 but whose fanin cone is driven
by clk2, even though clk2 is an unrelated clock in another clock group.
• To specify that high-fanout clock ports should not be buffered, set
syn_noclockbuf globally, or on individual input ports. Use this if you want
to save clock buffer resources for nets with lower fanouts but tighter
constraints.
LO
• Inverters merged with fanout loads increase fanout on the driver during
placement and routing. A distinction is made between a keep buffer
created as the result of the syn_keep attribute being applied by the user
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
420 Synopsys Confidential Information January 2024
Optimizing Fanout Chapter 10: Specifying Design-Level Optimizations
(explicit keep buffer) and a keep buffer that exists as the result of
another attribute (implicit keep buffer). For example, the syn_direct_enable
attribute inserts a keep buffer. When a syn_maxfan attribute is applied to
the output of an explicit keep buffer, the signal is buffered (the keep
buffer is not traversed so that the driver is not replicated). When the
syn_maxfan attribute is applied to the output of an implicit keep buffer,
the keep buffer is traversed and the driver is replicated.
• Turn off buffering and replication entirely, by setting syn_maxfan to a very
high number, like 1000.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 421
Chapter 10: Specifying Design-Level Optimizations Sharing Resources
Sharing Resources
One of the ways to optimize area is to use resource sharing in the compiler.
With resource sharing, the software uses the same arithmetic operators for
mutually exclusive statements; for example, with the branches of a case
statement. Conversely, you can improve timing by disabling resource
sharing, but at the expense of increased area.
Compiler resource sharing is on by default. You can set it globally and then
override the global setting on individual modules.
1. To disable resource sharing globally for the whole design, use one of the
methods below.
Leave the default setting to improve area; disable the option to improve
timing.
– Select Project->Implementation Options->Options, disable Resource Sharing.
Alternatively, disable the Resource Sharing button on the left side of the
Project view.
– Apply the syn_sharing directive to the top-level module or architecture
in the source code. See syn_sharing, on page 239 of the Attribute
Reference Manual for syntax and examples.
– Edit your project file and include the following command: set_option
-resource_sharing 0
When you save the project file, it includes the Tcl set_option
-resource_sharing command.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
422 Synopsys Confidential Information January 2024
Inserting I/Os Chapter 10: Specifying Design-Level Optimizations
Inserting I/Os
You can control I/O insertion globally, or on a port-by-port basis.
• To control the insertion of I/O pads at the top level of the design, use the
Disable I/O Insertion option as follows:
– Select Project->Implementation Options and click the Device panel.
– Enable the option (checkbox on) if you want to do a preliminary run
and check the area taken up by logic blocks, before synthesizing the
entire design.
Do this if you want to check the area your blocks of logic take up,
before you synthesize an entire FPGA. If you disable automatic I/O
insertion, you do not get any I/O pads in your design, unless you
manually instantiate them.
– Leave the Disable I/O Insertion checkbox empty (disabled) if you want to
automatically insert I/O pads for all the inputs, outputs and
bidirectionals.
When this option is set, the software inserts I/O pads for inputs,
outputs, and bidirectionals in the output netlist. Once inserted, you
can override the I/O pad inserted by directly instantiating another
I/O pad.
– For the most control, enable the option and then manually
instantiate the I/O pads for specific pins, as needed.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 423
Chapter 10: Specifying Design-Level Optimizations Optimizing State Machines
If you are trying to decide whether to use the FSM Compiler or the FSM
Explorer to optimize your state machines, remember these points:
• The FSM Explorer runs the FSM Compiler if it has not already been run,
because it picks encoding styles based on the state machines that the
FSM Compiler extracts.
• Like the FSM Compiler, you use the FSM Explorer to generate better
results for your state machines. Unlike the FSM Compiler, which picks
an encoding style based on the number of states, the FSM Explorer tries
out different encoding styles and picks the best style for the state
machine based on overall design constraints.
• The trade-off is that the FSM Explorer takes longer to run than the FSM
Compiler.
Use the symbolic FSM compiler to generate better results for state machines
or to debug state machines. If you do not want to use the symbolic FSM
compiler on the final circuit, you can use it only during initial synthesis to
check that the state machines are described correctly. Many common state
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 425
Chapter 10: Specifying Design-Level Optimizations Optimizing State Machines
You can run the FSM Compiler tool on the whole design or on individual
FSMs. See the following:
• Running the FSM Compiler on the Whole Design, on page 426
• Running the FSM Compiler on Individual FSMs, on page 427
2. To set a specific encoding style for a state machine, define the style with
the syn_encoding attribute, as described in Specifying FSMs with
Attributes and Directives, on page 393.
If you do not specify a style, the FSM Compiler picks an encoding style
based on the number of states.
In the log file, the FSM Compiler writes a report that includes a descrip-
tion of each state machine extracted and the set of reachable states for
each state machine.
LO
4. Select View->View Log File and check the log file for descriptions of the
state machines and the set of reachable states for each one. You see text
like the following:
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
426 Synopsys Confidential Information January 2024
Optimizing State Machines Chapter 10: Specifying Design-Level Optimizations
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 427
Chapter 10: Specifying Design-Level Optimizations Optimizing State Machines
1. If you have just a few state machines you do not want to optimize, do the
following:
– Enable the FSM Compiler by checking the box in the button panel of
the Project window.
– If you do not want to optimize the state machine, add the
syn_state_machine directive to the registers in the Verilog or VHDL
code. Set the value to 0. When synthesized, these registers are not
extracted as state machines.
2. If you have many state machines you do not want optimized, do this:
– Disable the compiler by disabling the Symbolic FSM Compiler box in one
of these places: the main panel on the left side of the project window
or the Options tab of the dialog box that comes up when you click the
Add Implementation or Implementation Options buttons. This disables the
compiler from optimizing any state machine in the design. You can
now selectively turn on the FSM compiler for individual FSMs.
– For state machines you want the FSM Compiler to optimize
automatically, add the syn_state_machine directive to the individual
state registers in theLO
VHDL or Verilog code. Set the value to 1. When
synthesized, the FSM Compiler extracts these registers with the
default encoding styles according to the number of states.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
428 Synopsys Confidential Information January 2024
Optimizing State Machines Chapter 10: Specifying Design-Level Optimizations
– For state machines with specific encoding styles, set the encoding
style with the syn_encoding attribute, as described in Specifying FSMs
with Attributes and Directives, on page 393. When synthesized, these
registers have the specified encoding style.
– Run synthesis.
The software automatically recognizes and extracts only the state
machines you marked. It automatically assigns encoding styles to the
state machines with the syn_state_machine attribute, and honors the
encoding styles set with the syn_encoding attribute. It writes out a log file
that contains a description of each state machine extracted, and the set
of reachable states for each state machine.
3. Check the state machine in the log file, the RTL and technology views,
and the FSM viewer. For information about the FSM viewer, see Using
the FSM Viewer (Standard), on page 353.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 429
Chapter 10: Specifying Design-Level Optimizations Optimizing State Machines
2. Enable the FSM Explorer by checking the FSM Explorer box in one of
these places:
– The main panel on the left side of the project window
– The Options tab of the dialog box that comes up when you click the
Add Implementation or Implementation Options buttons.
If you have not checked the FSM Compiler option, checking the FSM
Explorer option automatically selects the FSM Compiler option.
The FSM Explorer uses the state machines extracted by the FSM
Compiler. If you have not run the FSM Compiler, the FSM Explorer
invokes the compiler automatically to extract the state machines,
instantiate state machine primitives, and optimize them. Then, the FSM
Explorer runs through each encoding style for each state machine that
does not have a syn_encoding attribute and picks the best style. If you
have defined an encoding style with syn_encoding, it uses that style.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
430 Synopsys Confidential Information January 2024
Optimizing State Machines Chapter 10: Specifying Design-Level Optimizations
4. Select View->View Log File and check the log file for the descriptions. The
following extract shows the state machine and the reachable states as
well as the encoding style, gray, set by FSM Explorer.
For information about the FSM viewer, see Using the FSM Viewer
(Standard), on page 353.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 431
Chapter 10: Specifying Design-Level Optimizations Inserting Probes
Inserting Probes
Probes are extra wires that you insert into the design for debugging. When
you insert a probe, the signal is represented as an output port at the top
level. You can specify probes in the source code or by interactively attaching
an attribute.
To define probes for part of a bus, specify where you want to attach the
probes; for example, if you specify reg [1:0] in the previous code, the
software only inserts two probes.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
432 Synopsys Confidential Information January 2024
Inserting Probes Chapter 10: Specifying Design-Level Optimizations
For detailed information about VHDL attributes and sample files, see the
Attribute Reference Manual.
4. Run synthesis.
The software looks for nets with the syn_probe attribute and creates
probes and I/O pads for them.
5. Check the probes in the log file (*.srr) and the Technology view.
This figure shows some probes and probe entries in the log file.
2. Push down as necessary in an RTL view, and select the net for which
you want to insert a probe point.
Do not insert probes for output or bidirectional signals. If you do, you
see warning messages in the log file.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 433
Chapter 10: Specifying Design-Level Optimizations Inserting Probes
– Add the prefix n: to the net name in the SCOPE window. If you are
adding a probe to a lower-level module, the name is created by
concatenating the names of the hierarchical instances.
– If you want to attach probes to part but not all of a bus, make the
change in the Object column. For example, if you enter
n:UC_ALU.longq[4:0] instead of n:UC_ALU.longq[8:0], the software only
inserts probes where specified.
– Select syn_probe in the Attribute column, and type 1 in the Value
column.
– Add the constraint file to the project list.
4. Rerun synthesis.
5. Open a Technology view and check the probe wires that have been
inserted. You can use the Ports tab of the Find form to locate the probes.
The software adds I/O pads for the probes. The following figure shows
some of the pads in the Technology view and the log file entries.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
434 Synopsys Confidential Information January 2024
C H A P T E R 11
The following sections describe compile points and how to use them in logic
synthesis iterative flows:
• Compile Point Basics, on page 436
• Compile Point Synthesis Basics, on page 445
• Synthesizing Compile Points, on page 455
• Using Compile Points with Other Features, on page 470
• Resynthesizing Incrementally, on page 471
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 435
Chapter 11: Working with Compile Points Compile Point Basics
See the following topics for some details about compile points:
• Advantages of Compile Point Design, on page 436
• Automatic and Manual Compile Points, on page 438
• Nested Compile Points, on page 439
• Compile Point Types, on page 440
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
436 Synopsys Confidential Information January 2024
Compile Point Basics Chapter 11: Working with Compile Points
what you have. You can also customize the compile point type settings
for individual compile points to take advantage of cross-boundary
optimizations.
You can also synthesize incrementally, because the tool does not resyn-
thesize compile points that are unchanged when you resynthesize the
design. This saves runtime and also preserves parts of the design that
are done while the rest of the design is completed.
See Compile Point Synthesis, on page 451 for a description of the synthesis
process with compile points.
Runtime Savings
Compile points are the required foundation for multiprocessing and incre-
mental synthesis, both of which translate directly to runtime savings:
• Multiprocessing runs synthesis as multiple parallel processes, using the
compile points as the partitions that are synthesized in parallel on
different processors. See Combining Compile Points with Multiprocessing,
on page 470.
• Incremental synthesis uses compile points to determine which portions
of the design to resynthesize, only resynthesizing the compile points that
have been modified. See Resynthesizing Compile Points Incrementally, on
page 471.
Design Preservation
Using compile points addresses the need to maintain the overall stability of a
design while portions of the design evolve. When you use compile points to
partition the design, you can isolate one part from another. This lets you
preserve some compile points, and only resynthesize those that need to be
rerun. These scenarios describe some design situations where compile points
can be used to isolate parts of the design and run incremental synthesis:
• During the initial design phase, design modules are still being designed.
Use compile points to preserve unchanged design modules and evaluate
the effects of modifications to parts of the design that are still changing.
• During design integration, use compile points to preserve the main
design modules and only allow the glue logic to be remapped.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 437
Chapter 11: Working with Compile Points Compile Point Basics
• If your design contains IP, synthesize the IP, and use compile points to
preserve them while you run incremental synthesis on the rest of the
design.
• In the final stages of the design, use compile points to preserve design
modules that do not need to be updated while you work through minor
HDL changes in some other part of the design.
Automatic compile points are simple to use and do not require any setup.
Manual compile points require more setup, but provide more control because
they let you define the partition boundaries and constraints instead of the
tool.
• Automatic compile points (ACP)
Automatic compile points offer the simplest way to set up compile points
and are also the most automated way to leverage multiprocessing. The
tool makes the decisions and automatically creates compile points based
on various parameters, like the size of the design, the sizes of hierar-
chical modules, their boundary logic, the number of ports driven by
constants, and so on. For details about this process, see Automatic
Compile Point Generation, on page 458. You do not need to define
boundary constraints for automatic compile points.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
438 Synopsys Confidential Information January 2024
Compile Point Basics Chapter 11: Working with Compile Points
that a critical path does not cross a compile point boundary, thus
ensuring synthesis results with optimal performance.
Use Automatic Compile Points ... Use Manual Compile Points ...
When runtime and quick results When you know the design in detail.
are more important than the best Create manual compile points to get better
QoR QoR. Good candidates for manual compile
points include the following:
• Completed modules with registered
interfaces, where you want to preserve the
design
• Modules created to include an entire critical
path, so as to get the best performance.
• Modules that are less likely to be affected by
cross boundary optimizations like constant
propagation and register absorption.
When you expect many updates or When you do not want further optimizations to
cross-boundary optimization a completed compile point.
changes. When you want more control to determine
cross-boundary optimizations on an individual
basis.
To simplify things, the term child is used to refer to a compile point that is
contained inside another compile point; the term parent is used to refer to a
container compile point that contains a child. These terms are not used in
their strict sense of direct, immediate containment: If a compile point A is
nested in B, which is nested in C, then A and B are both considered children
of C, and C is a parent of both A and B. The top level is considered the parent
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 439
Chapter 11: Working with Compile Points Compile Point Basics
of all compile points. In the figure above, both CP5 and CP6 are children of
CP4; both CP4 and CP5 are parents of CP6; CP5 is an immediate child of CP4
and an immediate parent of CP6.
These are descriptions of the soft, hard, locked, locked,partition compile types:
• Soft
Compile point boundaries can be reoptimized during top-level mapping.
Timing optimizations like sizing, buffering, and DRC logic optimizations
can modify boundary instances of the compile point and combine them
with functions from the next higher level of the design. The compile
point interface can alsoLO
be modified. Multiple instances are uniquified.
Any optimization changes can propagate both ways: into the compile
point and from the compile point to its parent.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
440 Synopsys Confidential Information January 2024
Compile Point Basics Chapter 11: Working with Compile Points
Using soft mode usually yields the best quality of results, because the
software can utilize boundary optimizations. On the other hand, soft
compile points can take a longer time to run than the same design with
hard or locked compile points. Unless they are at the leaf level, soft compile
points are not processed in parallel. Upper levels that contain soft
compile points cannot be processed until the lower level has been
mapped, with the top level processed last.
The following figure shows the soft compile point with a dotted boundary
to show that logic can be moved in or out of the compile point.
• Hard
For hard compile points, the compile point boundary can be reoptimized
during top-level mapping and instances on both sides of the boundary
can be modified by timing and DRC optimizations using top-level
constraints. However, the boundary is not modified. Any changes can
propagate in either direction while the compile point boundary
(port/interface) remains unchanged. Multiple instances are uniquified.
For performance improvements, constant propagation and removal of
unused logic optimizations are performed across hard compile points.
In the following figure, the solid boundary on the hard compile point
indicates that no logic can be moved in or out of the compile point.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 441
Chapter 11: Working with Compile Points Compile Point Basics
The hard compile point type allows for optimizations on both sides of the
boundary without changing the boundary. There is a trade-off in quality
of results to keep the boundaries. Using hard also allows for hierarchical
equivalence checking for the compile point module.
Note: For automatic compile points, the default compile point is hard.
The hard compile point, for automatic compile points, also has the
functionality of the locked and locked, partition compile points. See
Locked , on page 442 and Locked, partition , on page 444.
• Locked
This is the default compile point type for manual compile points. With a
locked compile point, the tool does not make any interface changes or
reoptimize the compile point during top-level mapping. An interface logic
model (ILM) of the compile point is created (see Interface Logic Models,
on page 447) and included for the top-level mapping. The ILM remains
unchanged during top-level mapping.
The locked value indicates that all instances of the same compile point
are identical and unaffected by top-level constraints or critical paths. As
a result, multiple instances of the compile point module remain identical
even though the compile point is uniquified. The Technology view (srm
file) shows unique names for the multiple instances, but in the final
Verilog netlist (vma file) the
LO original module names for the multiple
instances are restored.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
442 Synopsys Confidential Information January 2024
Compile Point Basics Chapter 11: Working with Compile Points
This mode has the largest trade-off in terms of QoR, because there are
no boundary optimizations. So, it is very important to provide accurate
constraints for locked compile points. The following table lists some
advantages and limitations with the locked compile point:
Advantages Limitations
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 443
Chapter 11: Working with Compile Points Compile Point Basics
• Locked, partition
You can also specify a compile point type to be locked, partition. With this
setting and depending on the technology specified, the tool creates the
following:
– Microchip - A designName_partition.tcl file that contains timestamps
for each compile point. The contents of this file is used in the
incremental synthesis flow.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
444 Synopsys Confidential Information January 2024
Compile Point Synthesis Basics Chapter 11: Working with Compile Points
The following figure shows that this design has one locked compile
point, pgrm_cntr. It uses the following syntax to define the compile point:
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 445
Chapter 11: Working with Compile Points Compile Point Synthesis Basics
The compile point constraints are specific to the compile point and only
apply within it. If your design has manual compile points, you can
define corresponding compile point constraint files for them. See Setting
Constraints at the Compile Point Level, on page 465 for a step-by-step
procedure. Automatic compile points do not require compile point
constraint files, because their constraints come from the top level.
When compile point constraints are defined, the tool uses them to
synthesize the compile point, not automatic interface timing. Note that
depending on the compile point type, the tool might further optimize the
compile points during top-down synthesis of the top level to improve
timing performance andLO overall design results, but the compile point
itself is synthesized with the defined compile point constraints.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
446 Synopsys Confidential Information January 2024
Compile Point Synthesis Basics Chapter 11: Working with Compile Points
define_current_design {work.pgrm_cntr}
If your design has some compile points with their own constraint files and
others without them, the tool uses the defined compile point constraints
when it synthesizes those compile points. For the other compile points
without defined constraints, it uses automatic interface timing, as described
in Interface Timing for Compile Points, on page 448.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 447
Chapter 11: Working with Compile Points Compile Point Synthesis Basics
The tool does not do any timing optimizations on an ILM. The interface logic
is preserved with no modifications. All logic required to recreate timing at the
top level is included in the ILM. ILM logic includes any paths from an
input/inout port to an internal register, an internal register to an
output/inout port, and an input/inout port to an output/inout port.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
448 Synopsys Confidential Information January 2024
Compile Point Synthesis Basics Chapter 11: Working with Compile Points
When it synthesizes a compile point, the tool considers all other compile
points as black boxes and only uses their interface timing information. In the
following figure, when the tool is synthesizing compile point A, it applies
relevant timing information to the boundary registers of B and C, because it
treats them as black boxes.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 449
Chapter 11: Working with Compile Points Compile Point Synthesis Basics
When interface timing is off, the compile point log file (srr) reports the clock
period for the compile point LO
as 20 ns, which is the compile point period.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
450 Synopsys Confidential Information January 2024
Compile Point Synthesis Basics Chapter 11: Working with Compile Points
Interface Timing On
For automatic interface timing to run on a compile point (interface timing on),
there must not be a compile-point level constraints file. When interface
timing is on, the compile point log file (srr) reports the clock period for the
top-level design, which is 10 ns:
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 451
Chapter 11: Working with Compile Points Compile Point Synthesis Basics
rest of this section describes the process that the tool goes through to synthe-
size compile points; for step-by-step information about what you need to do
to use compile points, see Synthesizing Compile Points, on page 455.
A compile point stands on its own, and is optimized separately from its parent
environment (the compile point container or the top level). This means that
critical paths from a higher level do not propagate downwards, and they are
unaffected by them.
After synthesis for all the automatic compile points are done, the software
reloads all the automatic compile point results and writes out a single output
netlist and one constraint file for the entire design. See Forward-annotation of
Compile Point Timing Constraints, on page 455 for a description of the
constraints that are forward-annotated.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
452 Synopsys Confidential Information January 2024
Compile Point Synthesis Basics Chapter 11: Working with Compile Points
A compile point stands on its own, and is optimized separately from its parent
environment (the compile point container or the top level). This means that
critical paths from a higher level do not propagate downwards, and they are
unaffected by them.
If you have specified compile point-level constraints, the tool uses them to
synthesize the compile point; if not, it uses automatic interface timing propa-
gated from the top level. For compile point synthesis, the tool assumes that
all other compile points are black boxes, and only uses the interface informa-
tion.
When defined, compile point constraints apply within the compile point.
Automatic compile points have constraints automatically assigned from the
top level, and you do not need to add any constraints at the compile point
level. For manual compile points, it is recommended that you set constraints
on locked compile points, but setting constraints is optional for soft and hard
compile points.
The software writes out a single output netlist and one constraint file for the
entire design. See Forward-annotation of Compile Point Timing Constraints, on
page 455 for a description of the constraints that are forward-annotated.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 453
Chapter 11: Working with Compile Points Compile Point Synthesis Basics
The tool resynthesizes a compile point that has already been synthesized, in
any of these cases:
• The HDL source code defining the compile point is changed in such a
way that the design logic is changed.
• The constraints applied to the compile point are changed.
• Any of the options on the Device panel of the Implementation Options dialog
box, except Update Compile Point Timing Data, are changed. In this case the
entire design is resynthesized, including all compile points.
• You intentionally force the resynthesis of your entire design, including
all compile points, with the Run -> Resynthesize All command.
• The Update Compile Point Timing Data device mapping option is enabled and
at least one child of the compile point (at any level) has been remapped.
The option requires that the parent compile point be resynthesized using
the updated timing model of the child. This includes the possibility that
the child was remapped earlier, while the option was disabled. The
newly enabled option requires that the updated timing model of the
child be taken into account, by resynthesizing the parent.
For each compile point, the software creates a subdirectory named for the
compile point, in which it stores intermediate files that contain hierarchical
interface timing and resource information that is used to synthesize the next
level. Once generated, the model file is not updated unless there is an inter-
face design change or you explicitly specify it. If you happen to delete these
files, the associated compile point will be resynthesized and the files regener-
ated.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
454 Synopsys Confidential Information January 2024
Synthesizing Compile Points Chapter 11: Working with Compile Points
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 455
Chapter 11: Working with Compile Points Synthesizing Compile Points
2. Set constraints.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
456 Synopsys Confidential Information January 2024
Synthesizing Compile Points Chapter 11: Working with Compile Points
The tool uses automatic interface timing to determine the constraints for
the compile points. It first synthesizes individual compile points using
interface timing propagated from the top level, and assumes that other
compile points are black boxes. It then synthesizes the top level, as
described in Compile Point Synthesis, on page 451.
For automatic compile points, the top level is treated as another compile
point and is synthesized along with them.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 457
Chapter 11: Working with Compile Points Synthesizing Compile Points
For details, see Analyzing Compile Point Results, on page 467. If you
resynthesize the design, the tool uses incremental synthesis. See Resyn-
thesizing Compile Points Incrementally, on page 471 for details.
At this point, you can choose to create additional manual compile points
as needed, by defining them in the top-level constraints file.
In a typical design, the tool goes through these stages to generate automatic
compile points and their constraints.
• It first identifies compile points based on factors like the size of hierar-
chical modules, their boundary logic, and the number of hierarchical
ports driven by constants.
• It then extracts compile point constraints from the top-level timing
constraints, and propagates this interface timing automatically to the
automatic compile points.
• If the design has manual compile points that do not have a constraint
file at the top level, the tool derives constraints for them from the top
level, just as with automatic compile points. If the design has manual
compile points with constraints, the tool honors these defined
constraints for the manual compile points.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
458 Synopsys Confidential Information January 2024
Synthesizing Compile Points Chapter 11: Working with Compile Points
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 459
Chapter 11: Working with Compile Points Synthesizing Compile Points
I/O All top-level port constraints. set_input_delay {p:a} {1} -clock {clk:r}
constraints Register the compile point I/O
boundaries to improve timing.
Timing All timing exceptions that are set_false_path -from {i:reg1} -to
exceptions outside the compile point {i:reg2}
module, or that might be
partially in the compile point
modules.
Attributes All attributes that are define_attribute {i:statemachine_1}
applicable to the rest of the syn_encoding {sequential}
design, not within the compile
points.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
460 Synopsys Confidential Information January 2024
Synthesizing Compile Points Chapter 11: Working with Compile Points
With this option enabled, the tool black boxes any compile points that
have mapper errors and continues to synthesize the rest of the design.
See Combining Compile Points with Multiprocessing, on page 470 for
more information about this mode.
The tool synthesizes the compile points separately and then synthesizes
the top level. See Compile Point Synthesis, on page 451 for details about
the process.
– The first time it runs synthesis, the tool maps the entire design.
– For subsequent synthesis runs, the tool only maps compile points
that were modified since the last run. It preserves unchanged compile
points.
7. Analyze the synthesis results using the top-level srr log file.
8. If you do not meet your design goals, make necessary changes to the
RTL, constraints, or synthesis controls, and re-synthesize the design.
The tool runs incremental synthesis on the modified parts of the design,
as described in Incremental Compile Point Synthesis, on page 454. See
Resynthesizing Compile Points Incrementally, on page 471 for a detailed
procedure.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 461
Chapter 11: Working with Compile Points Synthesizing Compile Points
The SCOPE window opens. It includes a Current Design field, where you
can specify constraints for the top-level design from the drop-down
menu and define manual compile points.
You do not have to redefine compile point constraints at the top level as
the tool uses them to synthesize the compile points.
1. From the Current Design field, select the module for which you want to
create the compile point.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
462 Synopsys Confidential Information January 2024
Synthesizing Compile Points Chapter 11: Working with Compile Points
See Creating a Top-Level Constraints File for Compile Points, on page 461
if you need information about creating this file.
Do this by either selecting a module from the drop-down list in the View
column, or dragging the instance from the HDL Analyst RTL view to the
View column. The equivalent Tcl command is define_compile_point, as
shown below:
define_compile_point {v:work.m1} -type {locked}
4. Set the Type to locked, locked,partition, hard, soft, according to your design
goals. See Defining the Compile Point Type, on page 464 for details.
This tags the module as a compile point. The following figure shows the
prgm_cntr module set as a locked compile point:
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 463
Chapter 11: Working with Compile Points Synthesizing Compile Points
You can now open the compile point constraint file and define constraints for
the compile point, as needed for manual compile points. See Setting
Constraints at the Compile Point Level, on page 465 for details.
1. When runtime is the main objective and QoR is not a primary concern,
set the compile point type as follows on the SCOPE Compile Points tab:
The following example shows the Tcl command and the equivalent
LO GUI:
version in the in the SCOPE
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
464 Synopsys Confidential Information January 2024
Synthesizing Compile Points Chapter 11: Working with Compile Points
2. When runtime and QoR are both important, do the following to ensure
the best performance while still saving runtime:
– Register the I/O boundaries for the compile points.
– As far as possible, put the entire critical path into the same compile
point.
– Set each compile point type individually, using these compile point
types:
3. If your goal is design preservation, set the compile point you want to
preserve to locked.
When you specify compile point constraints, the tool synthesizes the compile
point using the compile point timing models instead of automatic interface
timing from the top level. This procedure explains how to create a (compile
point constraint file, and set constraints for the compile point:
2. From the Current Design field, select the module for which you want to
create the compile point.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 465
Chapter 11: Working with Compile Points Synthesizing Compile Points
A default name for the compile point file appears in the banner of the
SCOPE window. Unlike the top-level constraint file, the Compile Point tab
in the SCOPE UI is greyed out when the constraint file is for a compile
point.
The tool uses the compile point constraints you define to synthesize the
compile point. Compile point port constraints are not used at the parent
level, because compile point ports do not exist at that level.
You can specify SCOPELO attributes for the compile point as usual. See
Using Attributes with Compile Points, on page 467 for some exceptions.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
466 Synopsys Confidential Information January 2024
Synthesizing Compile Points Chapter 11: Working with Compile Points
5. Save the file and add it to the project. When prompted, click Yes to add
the constraint file to the top-level design project.
1. Check that the design meets the target frequency for the design. Use the
Watch window or check the log file.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 467
Chapter 11: Working with Compile Points Synthesizing Compile Points
– Check top-level and compile point boundary timing. You can also
check this visually using the RTL and Technology view schematics. If
you find negative slack, check the critical path. If the critical path
crosses the compile point boundary, you might need to improve the
compile point constraints.
– If the design was resynthesized, check the Summary of Compile Points
section to see if compile points were preserved or remapped.
Note that this section reports black box compile points as Not Mapped,
and lists the reason as Black Box.
– Review all warnings and determine which should be addressed and
which can be ignored.
– Review the area report in the log file and determine if the cell usage is
acceptable for your design.
– Check all DRC information.
3. Check other files:
– Check the individual compile point module log files. The tool creates a
separate directory for each compile point module under the
implementation directory. Check the compile point log file in this
directory for synthesis information about the compile point synthesis
run.
– Check the compile point timing report. This report is located in the
compile point results directory of the implementation directory for
each compile point.
4. Check the RTL and Technology view schematics for a graphic view of the
design logic. Even though instantiations of compile points do not have
unique names in the output netlist, they have unique names in the
Technology view. This is to facilitate timing analysis and the viewing of
critical paths.
LO
Note: Compile points of type {hard} and {locked, partition} are easily located
in the Technology view with the color green.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
468 Synopsys Confidential Information January 2024
Synthesizing Compile Points Chapter 11: Working with Compile Points
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 469
Chapter 11: Working with Compile Points Using Compile Points with Other Features
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
470 Synopsys Confidential Information January 2024
Resynthesizing Incrementally Chapter 11: Working with Compile Points
Resynthesizing Incrementally
Incremental synthesis can significantly reduce runtime on subsequent runs.
It can also help with design stabilization and preservation. The following
describe the incremental synthesis process, and how compile points are used
in incremental synthesis within the tool and with other tools:
• Incremental Compile Point Synthesis, on page 454
• Resynthesizing Compile Points Incrementally, on page 471
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 471
Chapter 11: Working with Compile Points Resynthesizing Incrementally
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
472 Synopsys Confidential Information January 2024
Resynthesizing Incrementally Chapter 11: Working with Compile Points
To obtain the best results, define any required constraints and set the
proper implementation options for the compile point before resynthe-
sizing.
4. To force the software to generate a new model file for the compile point,
click Implementation Options on the Device tab and enable Update Compile
Point Timing Data. Click Run.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 473
Chapter 11: Working with Compile Points Resynthesizing Incrementally
The software regenerates the model file for each compile point when it
synthesizes the compile points. The new model file is used to synthesize
the parent. The option remains in effect until you disable it.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
474 Synopsys Confidential Information January 2024
CHAPTER 12
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 475
Chapter 12: Working with IP Input The Synopsys FPGA IP Encryption Flow
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
476 Synopsys Confidential Information January 2024
The Synopsys FPGA IP Encryption Flow Chapter 12: Working with IP Input
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 477
Chapter 12: Working with IP Input The Synopsys FPGA IP Encryption Flow
LO
The following describes these phases in more detail:
• Data Encryption, on page 479
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
478 Synopsys Confidential Information January 2024
The Synopsys FPGA IP Encryption Flow Chapter 12: Working with IP Input
Data Encryption
Data encryption is a three-step process that uses both symmetric and
asymmetric encryption to encrypt the data.
The IP author encrypts the IP data using their own symmetric key. This key is
called the data key. The result of encoding is a data block. Using symmetric
encryption offers two advantages to the IP author: fast data encryption
because it is symmetric, and freedom to use any symmetric scheme they
choose: Data Encryption Standard (DES), Triple DES, or Advanced Encryp-
tion Standard (AES).
Asymmetric encryption uses different keys to encode and decode data. The IP
consumer or tool vendor generates and makes a public key for encryption
available to the IP author. The public key cannot be used for decryption. The
IP consumer has a corresponding private key that is used to decrypt the data.
The asymmetric encryption cipher used is RSA.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 479
Chapter 12: Working with IP Input The Synopsys FPGA IP Encryption Flow
The IP author bundles the encrypted data block with the key block into one
LO
decryption envelope file for handoff to the IP consumer. Note that this
methodology allows the IP author to create just one version of the IP, and add
key blocks for each supported downstream consumer; for example, add key
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
480 Synopsys Confidential Information January 2024
The Synopsys FPGA IP Encryption Flow Chapter 12: Working with IP Input
blocks for place-and-route and simulation. Also, this approach eliminates the
need to securely transmit the symmetric key, because this is included in the
file. Security is maintained because both the key and the data are encrypted.
This is the point at which the IP author hands off the IP to the synthesis tool.
Data Decryption
Decryption is a two-stage process.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 481
Chapter 12: Working with IP Input The Synopsys FPGA IP Encryption Flow
You only encrypt the RTL. You can encrypt any number of Verilog and
VHDL (or mixed) RTL files to form your encrypted IP, and each file can
be encrypted in its entirety.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
482 Synopsys Confidential Information January 2024
The Synopsys FPGA IP Encryption Flow Chapter 12: Working with IP Input
5. Verify that your IP works with the tool by going through the procedure
that the user would use.
– Start the tool and add the IP into a design.
– Run the normal synthesis implementation flow and check that the IP
works.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 483
Chapter 12: Working with IP Input The Synopsys FPGA IP Encryption Flow
The user generally downloads the package and then untars or unzips it
into a top-level directory. The IP can then be used by the tool.
Files Description
ipinfo.txt Text file that lists the name of the IP, the version, restrictions
for use, support contact information, and an email alias to
request a license for the full RTL for your IP.
Documentation, Documents the IP, and includes detailed information about
preferably a PDF usage restrictions like vendor, device family, etc.
Readme An optional text file that contains instructions on use of the IP
for assembly and/or synthesis, and hints on how to use it
correctly.
Encrypted HDL or Protected RTL for the IP, created using the Synopsys encryptIP
EDIF script. See the documentation for details.
FDC constraints Unencrypted design constraints for the IP. You need only
maintain a single file for both the Synopsys synthesis tools.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
484 Synopsys Confidential Information January 2024
The Synopsys FPGA IP Encryption Flow Chapter 12: Working with IP Input
• You can place IP-XACT xml files in the top-level directory or in a common
subdirectory. You can have multiple files or a single file for the same
component or variants of a component. However, it is preferred that you
keep all IP-XACT components that are in one library at the same direc-
tory level, even if it is many levels deep in the directory hierarchy.
IP vendor name and logo Your vendor name and logo for display.
Optional IP description Short paragraph describing the IP and key
features.
Email alias Synopsys sends leads to this alias when evaluation
cores are requested on the Synopsys IP website.
Website URL Unique URL for accessing IP. After the user has
filled out lead information on the website, the
Synopsys tool directs the user to this URL to
download the IP. The lead form on your website can
be pre-filled by prior arrangement with Synopsys
Marketing.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 485
Chapter 12: Working with IP Input The Synopsys FPGA IP Encryption Flow
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
486 Synopsys Confidential Information January 2024
Working with IEEE 1735 Encryption Chapter 12: Working with IP Input
See the following for details about the stages in the flow shown above:
• Encrypting IP Using IEEE 1735-2014, on page 488
• Including IEEE 1735-Encrypted IP in a Synthesis Flow, on page 492
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 487
Chapter 12: Working with IP Input Working with IEEE 1735 Encryption
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
488 Synopsys Confidential Information January 2024
Working with IEEE 1735 Encryption Chapter 12: Working with IP Input
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 489
Chapter 12: Working with IP Input Working with IEEE 1735 Encryption
The keys.txt file contains the public key information and other encryption
pragmas.
Guidelines for All Use Models Except Partial File with IEEE Pragmas
• Copy the keys.txt file, which is included with the installDir/lib/encryptP1735.pl
script, to a local directory so that you can edit the file.
• Obtain public key information for each tool. If you want the IP to be used by
other tools, you must add key information for each tool that will be able to
access the IP. For example, contact Synopsys for VCS. Note that the
Synopsys VCS key is different from the one used by the Synopsys FPGA
tools.
• Add the public key information obtained from the IP consumers to the
keys.txt file.
• Add it after the default information, between the comment lines indicated in
the file. The following example shows information for a dummy key. You
must use actual information from the vendor.
// Add additional public keys below this line
`pragma protect key_keyowner="XYZ",
key_keyname="DUMMY", key_method="rsa"
`pragma protect key_public_key
…<tool_vendor_public_key_information>…
// Add additional public keys above this line
• You must add the information for each downstream consumer at this time,
as the encrypted IP cannot be passed to tools that do not have public key
information included.
Additional Guidelines for Partial File with Standard Pragmas Model
• Follow the general guidelines above.
• In addition, make sure to specify the version:
LO version=1
`pragma protect
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
490 Synopsys Confidential Information January 2024
Working with IEEE 1735 Encryption Chapter 12: Working with IP Input
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 491
Chapter 12: Working with IP Input Working with IEEE 1735 Encryption
1. Add the encrypted file along with other source files when you compile
the design.
– To add a file, use Add File from the GUI or the add_file Tcl command in
the Project view.
– For a .vp Verilog file, make sure to specify that the file is a Verilog file,
using the -type argument from the command line. Alternatively, you
can right-click the file name in the GUI and specify the file type.
2. Run through the design flow and compile and map as usual.
The tool decrypts the protected IP and uses it in the design, while
protecting the IP data from disclosure. The IP remains encrypted as a
black box in compiled views and no LUT initialization values are
displayed in mapped views.
3. To use the encrypted IP in other tools, like place and route or VCS
simulation, the IP author must include the public keys for these tools
when the IP was first encrypted.
If the keys were included, the encrypted IP is passed on and can be used
in the other tools. If the appropriate keys were not included, the IP must
be re-encrypted with all the public keys required before it can be used.
LO
In this case the output from the FPGA tool includes a black box for the
IP.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
492 Synopsys Confidential Information January 2024
Encrypting IP Using OpenIP (encryptIP) Chapter 12: Working with IP Input
2. Make sure that the encryptIP script specifies the decryption key and the
matching key length:
– Specify the symmetric data decryption key with the -k option.
Optionally, you can also specify a symmetric encryption key in
hexadecimal format with the -kx option.
– Make sure you specify the right key length for the encryption
algorithm with the -c option. For example, TEST1234 becomes a 64-bit
key, so you specify the des-cbc algorithm.
3. Make sure you specify the appropriate output method (-om) when you
run the script.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 493
Chapter 12: Working with IP Input Encrypting IP Using OpenIP (encryptIP)
All other output files from synthesis, including srm, srd, and srs files,
are encrypted using the same encryption method specified for the input
to synthesis. Output constraints are not encrypted.
4. Run the encryptIP script on each RTL file you want to encrypt.
5. Check the encrypted RTL file to make sure that there is only one key
block present.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
494 Synopsys Confidential Information January 2024
Encrypting IP Using OpenIP (encryptIP) Chapter 12: Working with IP Input
The output method mainly affects the output netlist. The following are guide-
lines for setting the output method for the encryptIP script, and detail the
effects of different settings:
Setting the output method to plaintext allows the tool to synthesize, run
gate-level simulations, place and route, and implement an FPGA (that
includes the IP) on a board. Setting the output method to blackbox does
not allow the tool to run gate-level simulations or place and route the IP,
because it only uses the port and connectivity information.
4. If you have set -om to plaintext and you want to specify individual cores as
white boxes, set the syn_macro directive to 1 on the view for the IP.
Note that you must set this on the view, not the instance. When this is
set, the tool treats the IP as a white box and only uses the timing and
connection information from the IP. The synthesis tool maintains the IP
boundary and only trims unused logic inside the IP.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 495
Chapter 12: Working with IP Input Encrypting IP Using OpenIP (encryptIP)
5. During synthesis, the IP contents appear as a black box in the RTL view,
irrespective of the output method selected. When the output method is
set to plaintext, you can push down into the IP from the Technology view.
6. After synthesis, the output method affects the results in the following
ways:
– Output constraints for an IP are in the standard Synopsys format and
are not encrypted.
– The output method affects the contents of the output netlist and its
format. This table summarizes the encryptIP behavior with different
output methods.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
496 Synopsys Confidential Information January 2024
Working with Synenc-encrypted IP Chapter 12: Working with IP Input
File order is critical, because incorrect order causes the compiler to error
out with a message about unknown macros. Ensure correct file order by
doing one of the following:
– Use the original lst file from coreConsultant to set up your project.
The lst file gives the proper order of files. This is the typical path to
the lst file:
ip_core_name/src/ip_core_name.lst
– If the lst file is unavailable, make sure that the params and constants
files for each core are listed first, and make sure that the undef file for
the core is listed last.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 497
Chapter 12: Working with IP Input Working with Synenc-encrypted IP
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
498 Synopsys Confidential Information January 2024
Using Hyper Source Chapter 12: Working with IP Input
You can also use it to easily replace an ASIC RAM with an FPGA RAM. Follow
these guidelines to replace an ASIC RAM with an FPGA RAM:
Hyper source reduces the number of modified HDL modules to two, one
for the RAM and one for the top level.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 499
Chapter 12: Working with IP Input Using Hyper Source
• Insert other hyper sourcing inside the IP to probe, monitor, and verify
correct operation of known signals within the IP.
The following procedure describes a method for using hyper source, using the
example HDL shown in Hyper Source Example, on page 501.
1. Define how to connect to the signal source. The following apply to this
example:
– Signal syn_hyper_source (in1) module defines the source, with a width of
1.
– The tag name "tag_name" is the global name for the hyper source.
2. Define how to access the hyper source which drives the local signal or
port. The following apply to this example:
– Signal syn_hyper_connect (out1) module defines the connection. The
signal width of 1 matches the source.
– Tag name can be the global name or the instance path to the hyper
source.
4. In this hierarchical design, note the following about the hyper connect:
– Applies to the top-level module top, but can be any level of hierarchy.
LO
– Signal syn_hyper_connect connect_block (probe) module is defined for the
connection with a width of 8.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
500 Synopsys Confidential Information January 2024
Using Hyper Source Chapter 12: Working with IP Input
– Tag name of "probe_sig" must match the name used in the hyper
source block to thread the signal properly.
5. After you run synthesis, the following message appears in the log file:
syn_hyper_source my_source(din);
defparam my_source.tag = "probe_sig"; /* to thread the signal this
tag_name must match to name used in the hyper connect block */
defparam my_source.w = 8;
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 501
Chapter 12: Working with IP Input Using Hyper Source
if (we)
dout <= din;
assign din = din1 & din2;
endmodule
syn_hyper_connect connect_block(probe);
defparam connect_block.tag = "probe_sig"; /* to thread the signal this
tag_name must match to name used in the hyper connect block */
defparam connect_block.w = 8;
endmodule
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
502 Synopsys Confidential Information January 2024
Using Hyper Source Chapter 12: Working with IP Input
The following figures show how the hyper source signal automatically gets
connected through the hierarchy of the IP in the HDL Analyst views.
RTL View
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 503
Chapter 12: Working with IP Input Using Hyper Source
Technology View
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
504 Synopsys Confidential Information January 2024
CHAPTER 13
This chapter covers topics that can help the advanced user improve produc-
tivity and interoperability with other tools. It includes the following:
• Using Batch Mode, on page 506
• Working with Tcl Scripts and Commands, on page 512
• Automating Flows with synhooks.tcl, on page 525
• Invoking Third-Party Vendor Tools, on page 530
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 505
Chapter 13: Optimizing Processes for Productivity Using Batch Mode
Batch scripts are in Tcl format. For more information about Tcl syntax and
commands, see Working with Tcl Scripts and Commands, on page 512.
1. Make sure you have a project file (prj) set up with the implementation
options. For more information about creating this Tcl file, see Creating a
Tcl Synthesis Script, on page 515.
2. From a command prompt, go to the directory where the project files are
located, and type one of the following, depending on which product you
are using:
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
506 Synopsys Confidential Information January 2024
Using Batch Mode Chapter 13: Optimizing Processes for Productivity
The -tclcmd switch also allows the synthesis results path to be changed.
The software returns the following codes after the batch run:
0 - OK
2 - logical error
3 - startup failure
4 - licensing failure
5 - batch not available
6 - duplicate-user error
7 - project-load error
8 - command-line error
9 - Tcl-script error
20 - graphic-resource error
21 - Tcl-initialization error
22 - job-configuration error
23 - parts error
24 - product-configuration error
25 - multiple top levels
3. If there are errors in the source files, check the standard output for
messages. On Linux systems, this is generally the monitor; on Windows
systems, it is the stdout.log file.
4. After synthesis, check the resultFile.srr log file for error messages about
the run.
2. Save the file with a tcl extension to the directory that contains your
source files and other project files.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 507
Chapter 13: Optimizing Processes for Productivity Using Batch Mode
3. From a command prompt, go to the directory with the files and type one
of the following as appropriate:
Queuing Licenses
A common problem when running in batch mode is that the run fails because
all of the available licenses are in use. License queuing allows a batch run to
wait for the next available license when a license is on the server but not
immediately available. LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
508 Synopsys Confidential Information January 2024
Using Batch Mode Chapter 13: Optimizing Processes for Productivity
Queuing Considerations
Consider these points when using queuing:
• A blocking-style queuing is used; license checkout does not exit until a
license becomes available.
• There is no maximum wait time; once initiated, the tool can wait indefi-
nitely for a license.
• If the server shuts down while the tool is waiting, a checkout failure is
reported.
• When two licenses are required, queuing waits only until the first license
becomes available (and not the second) to avoid holding a license unnec-
essarily.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 509
Chapter 13: Optimizing Processes for Productivity Using Batch Mode
Queuing Licenses
The following procedure describes how to specify blocking-style or
non-blocking style queuing for synthesis licenses. You can specify the
licensed features for queuing with an environment variable or directly in
batch mode.
1. Specify the list of licensed features you want to queue, using either of
the following methods:
– Set the toolName_LICENSE_TYPE environment variable to the features
you want. For example:
SYNPLIFYPRO_LICENSE_TYPE=synplifypro:synplifypromicrochip
– Specify a list of features to wait for using the -batch, -licensetype and
-license_wait options. For example:
SYNPLIFYPRO_LICENSE_WAIT=180
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
510 Synopsys Confidential Information January 2024
Using Batch Mode Chapter 13: Optimizing Processes for Productivity
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 511
Chapter 13: Optimizing Processes for Productivity Working with Tcl Scripts and Commands
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
512 Synopsys Confidential Information January 2024
Working with Tcl Scripts and Commands Chapter 13: Optimizing Processes for Productivity
1. In the Tcl script window, enter recording -file logfile to write out a Tcl log
file.
The software saves the commands from this session into a Tcl file that
you can use as a job script or as a starting point for creating other
Tcl files.
For the command syntax, see recording, on page 102 in the Command Refer-
ence manual.
1. To set the maximum number of parallel jobs in the .ini file, do the
following:
– Open the .ini file for the synthesis tool. For example, synplify_pro.ini.
– Add the MaxParallelJobs variable to the .ini file, as follows:
[JobSetting]
MaxParallelJobs=<n>
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 513
Chapter 13: Optimizing Processes for Productivity Working with Tcl Scripts and Commands
The tool uses the MaxParallelJobs value from the .ini file as the default for
both the UI (Project->Options) and batch mode. This value remains in
effect until you reset it in the .ini file or from the GUI, as described in the
next step. To locate this configuration and initialization file (.ini), see
Input Files, on page 144.
2. To set or change the maximum number of parallel jobs from the GUI, do
the following:
– Select Options->Configure Parallel or Compile Point Process from the Project
view.
– Set the value you want in the Maximum number of parallel synthesis jobs
field, and click OK. This field shows the current .ini value, but you can
reset it, and it will remain in effect until you change it again. The
value you set is saved to the .ini file.
3. To set a Tcl variable for the maximum number of parallel jobs, do the
following:
– Determine where you are going to define the variable. You can do this
in the project file, or a Tcl file, or you can type it in the Tcl window. If
you specify it in a Tcl file, you must source the file. If you specify it in
the Tcl window, the tool does not save the value and it will be lost
when you end the current session.
– Specify the max_parallel_jobs variable with the set_option Tcl command:
set_option -max_parallel_jobs value
The tool applies the max_parallel_jobs value specified to all project files
and their respective implementations. This is a global option. The
maximum number of parallel jobs remains in effect until you specify a
new value. This new value takes effect immediately, going forward.
However, when you set this option from the Tcl command window, the
max_parallel_jobs value is not saved and will be lost when you exit the
application.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
514 Synopsys Confidential Information January 2024
Working with Tcl Scripts and Commands Chapter 13: Optimizing Processes for Productivity
add_file prep2.v
set_option -technology RTG4
set_option -part RT4G150
set_option -package CG1657
project -run
1. Use a text file editor or select File->New, click the Tcl Script option, and type
a name for your Tcl script.
2. Start the script by specifying the project with the project -new command.
For an existing project, use project -load project.prj.
3. Add files using the add_file command. The files are added to their
appropriate directories based on their file name extensions (see add_file,
on page 21 in the Command Reference Manual). Make sure the top-level
file is last in the file list:
add_file statemach.vhd
add_file rotate.vhd
add_file memory.vhd
add_file top_level.vhd
add_file design.fdc
For information on constraints and vendor-specific attributes, see
Guidelines for Entering and Editing Constraints, on page 136 for details
about constraint files.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 515
Chapter 13: Optimizing Processes for Productivity Working with Tcl Scripts and Commands
set variable_name {
first_option_to_try
second_option_to_try
...}
2. Create a foreach loop that runs through each option in the list, using the
appropriate Tcl commands. The following example shows a variable set
up to synthesize a design with different frequencies. It also creates a
separate log file for each run.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
516 Synopsys Confidential Information January 2024
Working with Tcl Scripts and Commands Chapter 13: Optimizing Processes for Productivity
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 517
Chapter 13: Optimizing Processes for Productivity Working with Tcl Scripts and Commands
set try_these {
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
518 Synopsys Confidential Information January 2024
Working with Tcl Scripts and Commands Chapter 13: Optimizing Processes for Productivity
1. Create a Tcl script for each logic block. The Tcl script must synthesize
the block. See Creating a Tcl Synthesis Script, on page 515 for details.
2. Create a top-level script that reads the block scripts. Create the script
with the with the project -new command.
4. Save the top-level script, and then run it using this syntax:
source block_script.tcl
When you run this command, the entire design is synthesized, begin-
ning with the lower-level logic blocks specified in the sourced files, and
then the top level.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 519
Chapter 13: Optimizing Processes for Productivity Working with Tcl Scripts and Commands
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
520 Synopsys Confidential Information January 2024
Working with Tcl Scripts and Commands Chapter 13: Optimizing Processes for Productivity
24.0
28.0
32.0
36.0
40.0
}
# Loop through each frequency, trying each one
foreach frequency $try_these {
# Set the frequency from the try_these list
set_option -frequency $frequency
# Since I want to keep all Log Files, save each one. Otherwise
# the default Log File name "<project_name>.srr" is used, which is
# overwritten on each run. Use the name "<$frequency>.srr" obtained from
the
# $try_these Tcl variable.
project -log_file $frequency.srr
# Run synthesis.
project -run
# Display the Log File for each synthesis run
open_file -edit_file $frequency.srr
}
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 521
Chapter 13: Optimizing Processes for Productivity Working with Tcl Scripts and Commands
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
522 Synopsys Confidential Information January 2024
Working with Tcl Scripts and Commands Chapter 13: Optimizing Processes for Productivity
# ---------------------------------------------------
# Assign onehot encoding to instance "p1.state{15:0}".
define_attribute {sel} {syn_encoding} {onehot}
Bottom-up Synthesis
# Bottom-up synthesis of a large design.
# The Source command reads in other Tcl scripts. Each of these scripts does
# a compile of one logic block and has its own constraint file.
source "statemach.tcl"
source "microproc.tcl"
source "handshake.tcl"
source "fifo.tcl"
source "cherstrp.tcl"
# After synthesizing the individual logic blocks, create a Project for the
# top-level design.
project -new
# Add the top level VHDL file.
add_file -vhdl top_level.vhd
# Add the top level global constraint file.
add_file -constraint top_level.fdc
# Set the top level options
set_option -technology RTG4
set_option -part RT4G150
set_option -speed_grade -1
set_option -frequency 50.0
set_option -symbolic_fsm_compiler true
# Set the output file information
project -result_file top_level.edf
project -log_file top_level.srr
# Save the Project to file
project -save top_level.prj
# Run the Project
project -run
# Open the top level RTL and Technology Views
open_file -rtl_view
open_file -technology_view
# --------------------------------------------------
# This file, "statemach.tcl," is read by "bottom_up.tcl," (the
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 523
Chapter 13: Optimizing Processes for Productivity Working with Tcl Scripts and Commands
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
524 Synopsys Confidential Information January 2024
Automating Flows with synhooks.tcl Chapter 13: Optimizing Processes for Productivity
You must copy the file to a new location so that it does not get
overwritten by subsequent product installations and you can maintain
your customizations from version to version. For example, copy it to
C:/work/synhooks.tcl.
$SYN_TCL_HOOKS=/remote/rel/projects/MyProj/synhooks.tcl
3. Open the synhooks.tcl file in a text editor, and edit the file so that the
commands reflect what you want to do. The default file contains
examples of the callbacks, which provide you with hooks at various
points of the design process.
– Customize the file by deleting the ones you do not need and by adding
your customized code to the callbacks you want to use. The following
table summarizes the various design phases where you can use the
callbacks and lists the corresponding functions. For details of the
syntax, refer to synhooks File Syntax, on page 527 in the Reference
Manual.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 525
Chapter 13: Optimizing Processes for Productivity Automating Flows with synhooks.tcl
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
526 Synopsys Confidential Information January 2024
Automating Flows with synhooks.tcl Chapter 13: Optimizing Processes for Productivity
proc syn_on_press_ctrl_f8 {} {
set sel_files [get_selected_files]
while {[expr [llength $sel_files] > 0]} {
set file_name [lindex $sel_files 0]
puts $file_name
set sel_files [lrange $sel_files 1 end]
}
}
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 527
Chapter 13: Optimizing Processes for Productivity Automating Flows with synhooks.tcl
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
528 Synopsys Confidential Information January 2024
Automating Flows with synhooks.tcl Chapter 13: Optimizing Processes for Productivity
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 529
Chapter 13: Optimizing Processes for Productivity Invoking Third-Party Vendor Tools
You can invoke pre-configured tools or add your own. The process consists of
two steps:
• Configuring Tool Tags, on page 530
• Invoking a Third-Party Tool, on page 531
1. Select Options->Configure 3rd Party Tool Options from the Project view.
2. Define the application tag information for the tool you want to invoke.
– Specify the application you want to invoke in Application Tag Name.
– Specify how you want to invoke the application tool. If you want to
run the tool directly from the UI, select Direct Execution. If your
application is a Tcl procedure, select TCL Mode.
– Specify the location of the application executable or Tcl procedure
name in Application Name with Path or Tcl Procedure Name.
– Specify any command arguments you want in the Command Argument if
any field. You can use this to define a new tool tag or to add
arguments to a tool tag that is already defined.
For a list of predefined command arguments, click the + button and
select them from the list. Otherwise, type the command arguments.
For the internal Synopsys tools, you must select $SynCode from the
LO
Command Argument if any field.
– Click Apply.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
530 Synopsys Confidential Information January 2024
Invoking Third-Party Vendor Tools Chapter 13: Optimizing Processes for Productivity
– Click Close.
The tool saves these settings in the FPGA synthesis tool .ini file and
retrieves them for subsequent invocations. For information about
invoking a third-party tool, see Invoking a Third-Party Tool, on page 531,
next.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 531
Chapter 13: Optimizing Processes for Productivity Invoking Third-Party Vendor Tools
5. To invoke the tool at the same time that you associate a third-party tool
with a file or folder, or to add additional arguments on the fly, do the
following:
– Right-click a file or folder
LO and select Launch Tools->Run Vendor Tool from
the popup menu.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
532 Synopsys Confidential Information January 2024
Invoking Third-Party Vendor Tools Chapter 13: Optimizing Processes for Productivity
These settings are saved in the FPGA synthesis tool .ini file, from where it
can be retrieved for subsequent invocations.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 533
Chapter 13: Optimizing Processes for Productivity Invoking Third-Party Vendor Tools
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
534 Synopsys Confidential Information January 2024
CHAPTER 14
Improving Runtime
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 535
Chapter 14: Improving Runtime Multiprocessing With Compile Points
The tool runs four jobs in parallel per license, so additional licenses
increase the number of jobs that can be run in parallel. The actual
number of licenses used depends on certain factors. See Specifying
Licenses for Multiprocessing, on page 538 for an explanation.
See Setting Maximum Parallel Jobs, on page 536 for other ways to set
this value.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
536 Synopsys Confidential Information January 2024
Multiprocessing With Compile Points Chapter 14: Improving Runtime
[JobSetting]
MaxParallelJobs=<n>
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 537
Chapter 14: Improving Runtime Multiprocessing With Compile Points
2. Value set on the Configure Parallel or Compile Point Process dialog box.
Factors 1 and 3 can change during a single synthesis run. The number of
jobs equals the number of licenses; which then equates to the lowest value of
these three factors.
synplify_pro.exe -licensetype
LO
"synplifypro:synplifypro_allvendor:synplifypro_microchip"
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
538 Synopsys Confidential Information January 2024
Multiprocessing With Compile Points Chapter 14: Improving Runtime
• Use the following environment variables specified with the license type:
– SYNPLIFYPRO_LICENSE_TYPE
setenv SYNPLIFYPRO_LICENSE_TYPE=
"synplifypro:synplifypro_allvendor:synplifypro_microchip"
Multiprocessing can access any of these license types for additional licenses.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 539
Chapter 14: Improving Runtime Multiprocessing With Compile Points
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
540 Synopsys Confidential Information January 2024
CHAPTER 15
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 541
Chapter 15: Handling High-Reliability Designs Working with Microchip Radhard Designs
You can specify radhard values on modules and architecture in both the
Attributes panel in SCOPE and in the source code. However, for registers, it
must be specified in the source code only.
1. Add to your project the Microchip macro files appropriate to the radhard
values you plan to set in the design. The macro files are in
installDirectory/lib/microchip:
VHDL Verilog
library synplify; module module_b (a, b, sub,
use synplify.attributes.all; clk, rst) /*synthesis
attribute syn_radhardlevel of syn_radhardlevel="tmr"*/;
behav: architecture is "tmr";
– Make sure that the corresponding Microchip macro file from step 1 is
the first file listed in the project, if required.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
542 Synopsys Confidential Information January 2024
Working with Microchip Radhard Designs Chapter 15: Handling High-Reliability Designs
To set attributes in SCOPE, see How Attributes and Directives are Specified,
on page 8 in the Attribute Reference manual. The following procedure outlines
how to set this attribute in the source code.
VHDL Verilog
library synplify; module module_b (a, b, sub,
use synplify.attributes.all; clk, rst) /*synthesis
attribute syn_radhardlevel of syn_radhardlevel="tmr"*/;
behav: architecture is "tmr";
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 543
Chapter 15: Handling High-Reliability Designs Working with Microchip Radhard Designs
VHDL Verilog
library synplify; reg [15:0] a1_int, b1_int
use synplify.attributes.all; /* synthesis syn_radhardlevel =
attribute syn_radhardlevel of "tmr" */;
bl_int: signal is "tmr"
– Add the appropriate Microchip macro file (tmr.v or tmr.vhd for this
example) to the project.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
544 Synopsys Confidential Information January 2024
Specifying Safe FSMs Chapter 15: Handling High-Reliability Designs
The following procedures describe ways to ensure high reliability and fault
tolerance for FSMs:
• Implementing Safe Encoding FSMs, on page 546
• Implementing Safe Case FSMs, on page 547
Safe state machine option is not recommended for Microchip RTG4 technology. To continue
with safe state machine implementation, downgrade this error to warning.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 545
Chapter 15: Handling High-Reliability Designs Specifying Safe FSMs
See Vendor Support for Safe FSMs, on page 545 for a list.
2. To apply safe encoding for FSMs, use the syn_encoding attribute with the
value safe. When choosing an encoding style, use the syn_encoding
attribute with the values safe, encodingStyle. You can apply this
attribute on an FSM instance or register in the Verilog/VHDL source
code or the FDC constraint file. For example:
Note: You must enable the FSM Compiler option to ensure the syn_encoding
attribute takes effect. This overrides the default FSM compiler encoding
for the state machine.
LO
3. To remove the additional flip-flop inserted on the inactive clock edge of
the recovery path, use the syn_shift_resetphase attribute. This attribute
can be specified globally or on an FSM instance.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
546 Synopsys Confidential Information January 2024
Specifying Safe FSMs Chapter 15: Handling High-Reliability Designs
See Vendor Support for Safe FSMs, on page 545 for a list.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 547
Chapter 15: Handling High-Reliability Designs Specifying Safe FSMs
The high reliability safe case option turns off sequential optimizations
that would otherwise optimize away some FSM states.
Note: Preserve and Decode Unreachable States not only works on FSMs, but
can perform operations on any pmux to prevent specific optimi-
zations. Using this option might produce different results than
the syn_safe_case directive below.
For details about this directive, see syn_safe_case, on page 231 in the
Attribute Reference Manual.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
548 Synopsys Confidential Information January 2024
Specifying Safe FSMs Chapter 15: Handling High-Reliability Designs
You can also define a SCOPE collection in the constraint file, then apply
the attribute to the collection:
You must enable the FSM Compiler option to ensure that the syn_encoding
attribute takes affect. This overrides the default FSM compiler encoding
for the state machine.
Note: You can optionally specify the error monitoring Tcl commands for
safe FSM.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 549
Chapter 15: Handling High-Reliability Designs Specifying Safe FSMs
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
550 Synopsys Confidential Information January 2024
Specifying Safe FSMs Chapter 15: Handling High-Reliability Designs
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 551
Chapter 15: Handling High-Reliability Designs Specifying Safe FSMs
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
552 Synopsys Confidential Information January 2024
CHAPTER 16
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 553
Chapter 16: Running Post-Synthesis Operations Running P&R Automatically after Synthesis
1. Check the Release Notes and make sure that you are using the correct
version of the P&R tool.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
554 Synopsys Confidential Information January 2024
Running P&R Automatically after Synthesis Chapter 16: Running Post-Synthesis Operations
In synthesis batch mode (synbatch), the -license_release option obtains all the
synthesis licenses that are checked out for the session and checks them in
immediately after the place-and-route job is launched.
When licenses are released, you see the following message is generated:
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 555
Chapter 16: Running Post-Synthesis Operations Working with the Identify Tools
The combination of these tools allows you to probe your HDL design in the
target environment. The combined system allows you to debug your design
faster, easier, and more efficiently. This section describes how to take advan-
tage of this integration and use the Identify instrumentor:
• Launching from the Tool, on page 556
• Handling Problems with Launching Identify, on page 560
• Using the Identify Tool, on page 562
• Using Compile Points with the Identify Tool, on page 563
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
556 Synopsys Confidential Information January 2024
Working with the Identify Tools Chapter 16: Running Post-Synthesis Operations
Note that you can perform SRS instrumentation from the HDL Analyst
view.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 557
Chapter 16: Running Post-Synthesis Operations Working with the Identify Tools
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
558 Synopsys Confidential Information January 2024
Working with the Identify Tools Chapter 16: Running Post-Synthesis Operations
After you click OK on the Edit IICE Settings dialog box, you can now use the
Identify tool as described in Using the Identify Tool, on page 562 For complete
details, consult the Identify tool set documentation.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 559
Chapter 16: Running Post-Synthesis Operations Working with the Identify Tools
After the design has been synthesized, place and route your design.
Program the device, install the device in the target system, and complete
the cable interface. You can now run the Identify debugger on the
instrumented design (designName.prj) to verify correct operation.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
560 Synopsys Confidential Information January 2024
Working with the Identify Tools Chapter 16: Running Post-Synthesis Operations
Either:
– Check the Use Current Identify Installation (for the Identify Debugger)
entry. This entry is set by the SYN_IDENTIFY_EXE environment
variable to point to the Identify installation. If this path is incorrect,
change the environment variable setting and restart the synthesis
tool.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 561
Chapter 16: Running Post-Synthesis Operations Working with the Identify Tools
– Click the Locate Identify Installation button and specify the correct
location in the corresponding field. Use the browse button to open the
Select Identify Installation Directory dialog box and navigate to your current
Identify installation directory.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
562 Synopsys Confidential Information January 2024
Working with the Identify Tools Chapter 16: Running Post-Synthesis Operations
When you use Identify instrumentation, the tool creates extra IICE logic at
the top level of the design and the corresponding interface to the signals that
need to be debugged. If you define compile points, the tool need only rerun
the compile points that have changed because of the insertion of this logic.
On subsequent runs, it can incrementally re-instrument only those compile
points where there are instrumentation changes or design modifications.The
following procedure describes the steps to follow to implement the flow and
take advantage of incremental synthesis and instrumentation:
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 563
Chapter 16: Running Post-Synthesis Operations Working with the Identify Tools
5. Rerun instrumentation.
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
564 Synopsys Confidential Information January 2024
Simulating with the VCS Tool Chapter 16: Running Post-Synthesis Operations
If you did not set up the $VCS_HOME environment variable, you are
prompted to define it. The Run VCS Simulator dialog box opens. For
descriptions of the options in this dialog box, see Configure and Launch
VCS Simulator Command, on page 391 of the Reference Manual.
2. Choose the category Simulation Type in the dialog box to configure the
simulation options.
– Specify the kind of simulation you want to run.
RTL simulation Enable Pre-Synthesis
Post-synthesis netlist simulation Enable Post-Synthesis
Post-P&R netlist simulation Enable Post P&R
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 565
Chapter 16: Running Post-Synthesis Operations Simulating with the VCS Tool
– Choose the category VCS Options in the dialog box to set options such
as the following VCS commands.
The options you set are written out as VCS commands in the script. If
you leave the default settings the VCS tool uses the FPGA version of VCS
and opens with the debugger (DVE) GUI and the waveform viewer. See
the VCS documentation for details of command options.
3. If your project has Verilog files with `include statements, you must use
the +incdir+ fileName argument when you specify the vlogan command.
You enter the +incdir+ in the Verilog Compile field in the VCS Options dialog
box, as shown below: LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
566 Synopsys Confidential Information January 2024
Simulating with the VCS Tool Chapter 16: Running Post-Synthesis Operations
`include "component.v"
module Top (input a, output x);
...
endmodule
The syntax for the VCS commands must reflect the relative location of
the Verilog files:
– If the Verilog files are in the same directory as the top.v file, specify:
- vlogan -work work Top.v +incdir+ ./
– If the Verilog files are in the a directory above the top.v file, specify:
- vlogan -work work Top.v +incdir+ ../include1 +incdir+
../ include2
– If the Verilog files are in directories below and above the top.v file,
specify:
4. Specify the libraries and test bench files, if you are using them.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 567
Chapter 16: Running Post-Synthesis Operations Simulating with the VCS Tool
– To specify a library, click the green Add button, and specify the library
in the dialog box that opens. Use the full path to the libraries. For
pre-synthesis simulation, specifying libraries is optional.
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
568 Synopsys Confidential Information January 2024
Simulating with the VCS Tool Chapter 16: Running Post-Synthesis Operations
– If you do not already have it open, open the Run VCS Simulator dialog
box by clicking the icon.
– To use an existing script, click the Load From button on the lower right
and select the script in the dialog box that opens. Then click Run in
the Run VCS Simulator dialog box.
– If you do not have an existing script, specify the VCS options, as
described in the previous five steps. Click Run.
The tool invokes VCS from the synthesis interface, using the commands
in the script.
Limitations
If Verilog include paths have been added to your project file, these paths are
not automatically added to the VCS script. Add the Verilog include paths
manually by using one of the following workarounds:
• From the Run VCS Simulator dialog box, add +incdir+includePath in the
Verilog Compile options field.
• Modify the VCS script file, adding the +incdir+includePath to all or any
relevant vlogan commands.
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 569
Chapter 16: Running Post-Synthesis Operations Simulating with the VCS Tool
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
570 Synopsys Confidential Information January 2024
Index
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 571
bottom-up design flow creating from other collections 156
compile point advantages 436 creating in SCOPE 155
bottom-up synthesis 523 creating in Tcl 157
crossprobing objects 156
browsers 308 definition 154
buffering diffing 158
controlling 420 highlighting in HDL Analyst views 161
listing objects 162
C listing objects and properties 161
listing objects in a file 162
c_diff command, examples 159 listing objects in columnar format 161
c_intersect command, examples 159 listing objects with c_list 161
special characters 160
c_list command Tcl window and SCOPE comparison 154
different from c_print 161 using Tcl expand command 150
example 163 using Tcl find command 149
using 162 viewing 160
c_print command Collections panel
different from c_list 161 using SCOPE 128
using 162
column editing 41
c_symdiff command, examples 160
commands
c_union command, examples 158 Tcl hooks 527
callback functions, customizing flow comments
525, 527
source files 41
case sensitivity
compile point types
Find command (Tcl) 146
hard 441
clock and path constraints locked 442
setting 130 locked,partition 444
clock constraints compile points
setting 130 advantages 436
setting (Legacy) 170 analyzing results 467
clock frequency goals, tradeoffs using automatic compile point flow 456
different 520 automatic timing budgeting 448
clock groups child 439
effect on false path constraints 144 constraint files 445
constraints for forward-annotation 455
clock trees 361 constraints, internal 455
clocks continue on error 219
implicit false path 144 creating constraint file 465
Clocks panel defined 436
using SCOPE 128 defining in constraint files 462
described 438
CoE. See continue on error 219
feature summary 444
collections Identify flow 563
adding objects 158 incremental synthesis 471
concatenating 158 manual compile point flow 459
constraints 156 multiprocessing 470
copying 162 nested 439
creating from common objects 158 optimization 452, 453
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
572 Synopsys Confidential Information January 2024
order of synthesis 452 create_fdc_template
parent 439 using 126
preserving with syn_hier 467 critical paths
resynthesis 454 delay 363
setting constraints 466 flat view 362
setting type 464 hierarchical view 362
syn_hier 467 negative slack on clock enables (Legacy)
synthesis process 451 176
synthesizing 455 slack time 363
types 440 using -route 405
using automatic and manual compile viewing 361
points together 469
using syn_allowed_resources attribute crossprobing 329
467 and retiming 410
collection objects 156
Compile Points panel 129 filtering text objects for 333
compile-point synthesis from FSM viewer 334
interface logic models 447 from log file 192
compile-point synthesis flow from message viewer 207
defining compile points 462 from text files 331
setting constraints 465 Hierarchy Browser 264, 329
importance of encoding style 335
compiler directives (Verilog)
new HDL Analyst views 265
specifying 89
paths 332
compilers 22 RTL view 330
constants schematic views 264
extracting from VHDL source code 91 Technology view 330
constraint files Text Editor view 330
applying to a collection 156 text file example 332
compile point 445, 455 to FSM Viewer 334
creating in a text editor 181 to place-and-route file 305
editing 136 Verilog file 330
effects of retiming 410 VHDL file 330
options 84 within RTL and Technology views 329
setting for compile points 466 current level
tcl script examples 521 expanding logic from net 343
constraints expanding logic from pin 343
defining clocks (Legacy) 165 searching current level and below 318
defining register delays (Legacy) 166 custom folders
specifying through points 140 creating 70
types 128 hierarchy management 70
types (legacy) 167 customization
using FDC template command 126 callback functions 525, 527
context
for object in filtered view 339 D
context help editor 36
SystemVerilog 36 data block 479
continue on error 219 data key 479
compile points 219 default enum encoding 91
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 573
define_attribute 100 editor view
Delay Paths panel context help 36
using SCOPE 129 emacs text editor 44
design flow encoding
customizing with callback functions state machine
525, 527 FSM Compiler 425
design guidelines 402 FSM Explorer 429
design hierarchy encoding styles
viewing 272, 337 and crossprobing 335
default VHDL 91
design size
FSM Compiler 426
amount displayed on a sheet 305
encryption
design views
asymmetric 479
moving between views 241, 304
methodologies 477
DesignWare symmetric 479
importing cores 497 synenc 497
device options encryption algorithms 479
See also implementation options
encryptip output constraints 496
directives
encryptip output method
adding 93
effect on output netlists 496
adding in Verilog 95
adding in VHDL 93 encryptIP script 493
black box 387, 388 encrypting IP 493
for FSMs 393 output methods 494
handling properties 100 encryptP1735.pl script 488
specifying for Verilog compiler 89 environment variables
syn_state_machine 428 SYN_TCL_HOOKS 525
syn_tco 388
adding black box constraints 387 error codes 507
syn_tpd 388 errors
adding black box constraints 387 continuing 219
syn_tsu 388 definition 39
adding black box constraints 387 filtering 206
directory sorting 206
examples delivered with synthesis tool source files 38
24 Verilog 38
VHDL 38
dissolving instances for flattening
hierarchy 350 examples delivered with synthesis tool,
directory 24
dot wildcard
Find command 263, 321 expand
batch mode 153
drivers
preserving duplicates with syn_keep Expand command
413 connection logic 346
selecting 346 pin and net logic 279, 342
using 343
E expand command
different from Tcl search 324
Editing window 39
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
574 Synopsys Confidential Information January 2024
expand command (Tcl). See Tcl expand See also Tcl commands
command Tcl batch script 507
Expand Inwards command Filter Schematic command, using
using 279, 343 277, 340
Expand Paths command Filter Schematic icon, using 277, 340
different from Isolate Paths 346 filtering 277, 340
Expand to Register/Port command advantages over flattening 277, 340
using 343 using to restrict search 318
expanding Find command
connections 346 318
pin and net logic 279, 342 browsing with 317
Explorer, FSM hierarchical search 319
overview 429 long names 317
message viewer 206
reading long names 320
F search scope, effect of 321
false paths search scope, setting 319
defining between clocks (Legacy) 180 searching the mapped database 320
I/O paths 144 searching the output netlist 326
impact of clock group assignments 144 setting limit for results 320
impact of clock group assignments using in RTL and Technology views 318
(Legacy) 180 using wildcards 263, 321
ports 144 wildcard examples 323
ports (Legacy) 180 find command
registers 144 different from Tcl search 324
registers (Legacy) 180 nuances and differences 325
setting constraints 144 find command (Tcl)
setting constraints (Legacy) 180 See Tcl find command
fanouts Flatten Current Schematic command
buffering vs replication 420 transparent instances 348
hard limits 419 using 348
soft global limit 418
soft module-level limit 419 Flatten Schematic command
using syn_keep for replication 414 using 348
using syn_maxfan 418 flattening 289, 347
fault tolerance. See high reliability See also dissolving
compared to filtering 277, 340
files dissolving instances 289, 350
.prf file 209 hidden instances 349
.prj 26 transparent instances 348
filtered messages 210 using syn_hier 416
fsm.info 427 using syn_netlist_hierarchy 417
log 189
message filter (prf) 209 forward-annotation
project (.prj) 26 compile point constraints 455
rom.info 311 FPGA Design Constraints Editor
searching 102 using TCL View 134
statemachine.info 355 frequency
synhooks.tcl 525 clocks (Legacy) 173
Tcl 512
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 575
defining for non-clock signals (Legacy) H
174
internal clocks (Legacy) 173 HDL Analyst
setting global 83 See also RTL view, Technology view
from constraints 139 critical paths 361
FSM Compiler crossprobing 264, 329
advantages 424 filtering schematics 277, 340
enabling 426 Push/Pop mode 311, 314
traversing hierarchy with mouse
FSM encoding strokes 309
user-defined 395 traversing hierarchy with Push/Pop
using syn_enum_encoding 395 mode 249, 311
FSM Explorer 424 using 272, 336
overview 429 HDL Analyst tool
running 430 deselecting objects 236, 302
when to use 424 selecting/deselecting objects 235, 302
FSM view HDL Analyst views
crossprobing from source file 331 highlighting collections 161
FSM Viewer 353 HDL views, annotating timing
crossprobing 334 information 359
fsm.info file 427 hidden instances
FSMs consequences of saving 338
See also FSM Compiler, FSM Explorer flattening 349
attributes and directives 393 restricting search by hiding 318
defining in Verilog 391 specifying 338
defining in VHDL 392 status in other views 338
definition 391 hierarchical design
optimizing with FSM Compiler 424 expanding logic from nets 343
properties 355 expanding logic from pins 279, 342
safe. See safe FSMs hierarchical instances
state encodings 354 dissolving 289, 350
transition diagram 353 hiding. See hidden instances, Hide
viewing 353 Instances command
multiple sheets for internal logic 339
G pin name display 341
viewing internal logic 275, 338
gated clocks hierarchical objects
defining (Legacy) 178 pushing into with mouse stroke 249, 310
Generated Clocks panel traversing with Push/Pop mode 249, 311
using SCOPE 128 hierarchical search 318
generics hierarchy
extracting from VHDL source code 91 flattening 289, 347
passing boolean 51 traversing 245, 308
passing integer 52
hierarchy browser
global optimization options 81 clock trees 361
controlling display 305
crossprobing from 264, 329
defined 245, 308
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
576 Synopsys Confidential Information January 2024
finding objects 253, 316 multiple. See multiple
traversing hierarchy 308 implementations.
hierarchy management (custom folders) overwriting 77
70 renaming 77
high reliability incremental synthesis
safe FSMs 545 compile points 471
using safe FSM 545 locked,partition compile points 444
high reliability design 541 initializing 396
hyper source initializing RAM 396
example 501 Input and output constraints
for IPs 499 defining 132
for prototyping 499 input constraints, setting 132
IP design hierarchy 499
threading signals 500 input constraints, setting (Legacy) 178
Inputs/Outputs panel
I using SCOPE 129
Instance Hierarchy tab 256
I/O insertion 423 instances
I/O pads preserving with syn_noprune 413
specifying I/O standards 133 properties 228, 297
I/O paths properties of pins 297
false path constraint 144 ILM See interface logic models
I/O standards interface logic models 447
specifying 133 interface timing 448
I/O Standards panel IP
using SCOPE 129 encryption-decryption flow 477
I/Os re-encryption 482
auto-constraining 377 IP design hierarchy
constraining 132 hyper source 499
constraining (Legacy) 179
preserving 423 IP encryption
Verilog black boxes 382 IEEE 1735 488
VHDL black boxes 384 IP encryption flow overview 476
Identify IP encryption scheme 482
compile points 563 IP vendors
IEEE 1735 directory structure for package 484
encrypting multiple files 491 encrypting IP 482
implementation options 78 package file list for encrypted IP flow
device 78 484
global frequency 83 packaging for evaluation 483
global optimization 81 supplying vendor information 485
part selection 78 IPs
specifying results 85 encrypting 482
implementations encryption flow 476
copying 77 using hyper source for debug 499
deleting 77 Isolate Paths command
different from Expand Paths 346, 347
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 577
iterations M
reducing with compile on error 219
manual compile points
J compared to automatic 438
flow 459
job management using with automatic 469
up-to-date checking 184 max_parallel_jobs variable 514
maximum parallel jobs 513, 536
K MaxParallelJobs variable 513
key assignments memory usage
customizing 526 maximizing with HDL Analyst 351
key block 479 Message viewer
keywords filtering messages 207
completing words in Text Editor 40 keyboard shortcuts 206
saving filter expressions 208
searching 206
L using 205
library extensions 45 using the F3 key to search forward 206
using the Shift-F3 key to search
license backward 206
specifying in batch mode 20
messagefilter.txt file 216
license queuing 508
blocking-style 510 messages
demoting 213
license release (synthesis) filtering 207
after P&R 554 promoting 213
license_release 554 saving filter information from command
log file line 209
remote access 195 saving filter information from GUI 208
severity levels 214
log files suppressing 213
checking FSM descriptions 431 writing messages to file 210
checking information 189
retiming report 409 mitigation technology 541
setting default display 189 mixed designs
state machine descriptions 426 troubleshooting 51
viewing 189 mixed language files 48
logic mouse strokes
expanding between objects 346 pushing/popping objects 248, 309
expanding from net 281, 343
multicycle paths
expanding from pin 279, 342
setting constraints 130
logic preservation setting constraints (Legacy) 171
syn_hier 417
multiple implementations 76
syn_keep for nets 413
running from project 76
syn_keep for registers 413
syn_noprune 413 multiple target technologies,
syn_preserve 413 synthesizing with Tcl script 519
logical folders multiprocessing
creating 70 compile points 470
maximum parallel jobs 513, 536
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
578 Synopsys Confidential Information January 2024
multisheet schematics 303 output constraints, setting (Legacy) 178
for nested internal logic 339 output files
searching just one sheet 318 specifying 85
transparent instances 303
output netlists
finding objects 326
N overutilization 202
name spaces
output netlist 326 P
technology view 320
navigating among design views 241, 304 package library, adding 62
nets pad types
expanding logic from 281, 343 industry standards 133
preserving for probing with syn_probe parallel jobs 513
413 parameter passing 52
preserving with syn_keep 413 boolean generics 51
properties 228, 297
selecting drivers 346 parameters
extracting from Verilog source code 89
new Hierarchy Browser 254
part selection options 78
New property 299
path constraints
notes false paths 144
filtering 206 false paths (Legacy) 180
sorting 206
pathnames
notes, definition 39 using wildcards for long names (Find)
321
O paths
crossprobing 332
objects tracing between objects 346
finding on current sheet 318 tracing from net 281, 343
flagging by property 298 tracing from pin 279, 342
selecting/deselecting 302
pattern matching
open_design Find command (Tcl) 146
with find and expand 153
pattern searching 102
optimization
for area 403 PDF
for timing 404 cutting from 41
logic preservation. See logic pin names, displaying 341
preservation. pins
preserving hierarchy 417 expanding logic from 279, 342
preserving objects 413 properties 228, 297
state machines 425
tips for 402 ports
false path constraint 144
options false path constraint (Legacy) 180
setting with set_option Tcl command properties 228, 297
521
POS interface
orig_inst_of property 300
using 140
output constraints, setting 132
post-synthesis constraints with adc 370
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 579
preferences prototyping
crossprobing to place-and-route file 305 using hyper source threading 499
displaying Hierarchy Browser 305 public key 479
displaying labels 306
RTL and Technology views 305 Push/Pop mode
sheet size (UI) 305 HDL Analyst 309
keyboard shortcut 311
primitives using 248, 249, 309, 311
pin name display 341
pushing into with mouse stroke 249, 310
viewing internal hierarchy 337 Q
private key 479 question mark wildcard, Find command
prj file 26 263, 321
probes
adding in source code 432 R
definition 432
radiation effects. See high reliability
retiming 411
RAMs 396
Product of Sums interface. See POS
interface initializing 396
project command register balancing. See retiming
archiving projects 105 register constraints, setting (Legacy) 170
copying projects 114 registers
unarchiving projects 110 false path constraint 144
project file hierarchy 70 false path constraint (Legacy) 180
project files Registers panel
adding files 64 using SCOPE 129
adding source files 60 remote access
batch mode 506 status reports 195
creating 60
replication
definition 60
controlling 420
deleting files from 64
opening 63 resource sharing
replacing files in 64 optimization technique 403
updating include paths 68 overriding option with syn_sharing 423
VHDL library 62 results example 423
using 422
project files (.prj) 26
resource usage 201
project status report
remote access 195 resource utilization. See resource usage
projects resynthesis
archiving 105 compile points 454
copying 114 forcing with Resynthesize All 454
restoring archives 110 forcing with Update Compile Point
Timing Data 454
properties
displaying with tooltip 228, 297 retiming
finding objects with Tcl find -filter 147 effect on attributes and constraints 410
orig_inst_of 300 example 408
reporting for collections 161 overview 406
viewing for individual objects 228, 297 probes 411
report 409
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
580 Synopsys Confidential Information January 2024
simulation behavior 411 Collections panel 128
return codes 507 Compile Points panel 129
creating compile-point constraint file
rom.info file 311 465
ROMs defining compile points 462
viewing data table 311 Delay Paths panel 129
RTL view drag and drop 136
See also HDL Analyst editing operations 137
analyzing clock trees 361 Generated Clocks panel 128
crossprobing collection objects 156 I/O pad type 133
crossprobing description 329 I/O Standards panel 129
crossprobing from 330 Inputs/Outputs panel 129
crossprobing from Text Editor 331 multicycle paths 143
defined 296 Registers panel 129
description 295 setting compile point constraints 466
filtering 277, 340 setting constraints (FDC) 122
finding objects with Find 318 specifying constraints 128
finding objects with Hierarchy Browser state machine attributes 393
253, 316 TCL View 129
flattening hierarchy 289, 347 SCOPE editor
highlighting collections 161 using 122
opening 225, 297 SCOPE panels
selecting/deselecting objects 302 entering and editing constraints 128
setting preferences 305
state machine implementation 427 SCOPE TCL View
traversing hierarchy 308 using 134
running P&R search
license release (synthesis) 554 browsing objects with the Find
command 317
runtime browsing with the Hierarchy Browser
continue on error 219 253, 316
finding objects on current sheet 318
S setting limit for results 320
setting scope 319
safe case 547 using the Find command in HDL
safe FSM 545 Analyst views 318
using safe case 547 search in Analyst
Schematic Options 256 browsing objects with the Find
command 259
schematics
multisheet. See multisheet schematics See also search
page size 305 set command
selecting/deselecting objects 235, 302 collections 162
SCOPE set_option command 80
adding attributes 96 sheet connectors
adding probe insertion attribute 433 navigating with 304
Attributes panel 129
sheet size
case sensitivity for Verilog designs 146
setting number of objects 305
Clocks panel 128
collections compared to Tcl script shematic view
window 154 setting preferences (New Anallyst) 242
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 581
Shift-F3 key stand-alone timing analyst. See STA
Message Viewer 206 starting Synplify Pro 20
Show Cell Interior option 337 state machines
Show Context command See also FSM Compiler, FSM Explorer,
different from Expand 339 FSM viewer, FSMs.
using 339 attributes 393
signals descriptions in log file 426
threading with hyper source. See hyper encoding
source FSM Compiler 425
FSM Explorer 429
simulation, effect of retiming 411 implementation 427
slack 364 optimization 425
setting margins 361 parameter and ’define comparison 392
slack time display 358 statemachine.info file 355
Slow property 299 Structural Verilog flow 53
source code syn_allow_retiming
commenting with synthesis on/off 92 using for retiming 407
crossprobing from Tcl window 334 syn_allowed_resources
defining FSMs 391 compile points 467
fixing errors 42
opening automatically to crossprobe syn_encoding attribute 394
331 syn_enum_encoding directive
optimizing 402 FSM encoding 395
source files syn_hier attribute
See also Verilog, VHDL. controlling flattening 416
adding comments 41 preserving hierarchy 417
adding files 60 using with compile points 467
checking 38 syn_isclock
column editing 41 black box clock pins 390
copying examples from PDF 41
creating 34 syn_keep
crossprobing 331 replicating redundant logic 414
editing 40 syn_keep attribute
editing operations 40 preserving nets 413
mixed language 48 preserving shared registers 413
specifying default encoding style 91 syn_keep directive
specifying top level file for mixed effect on buffering 420
language projects 49
specifying top-level file 91 syn_macro
state machine attributes 393 specifying encrypted IP as white box 495
using bookmarks 41 syn_maxfan attribute
special characters setting fanout limits 418
Tcl collections 160 syn_noprune directive
STA 366 preserving instances 413
STA, generating custom timing reports syn_preserve
366 effect on buffering 420
preserving power-on for retiming 407
STA, using analysis design constraints
(adc) 369 syn_preserve directive
preserving FSMs from optimization 393
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
582 Synopsys Confidential Information January 2024
preserving logic 413 synthesis check 38
syn_probe attribute 432 synthesis software
inserting probes 432 flow 22
preserving nets 413 synthesis_on/off
syn_reference_clock constraint (Legacy) using 92
165 SystemVerilog keywords
syn_replicate attribute context help 36
using buffering 420
syn_sharing directive T
overriding default 423
syn_state_machine directive ta file 366
using with value=0 428 Tcl
SYN_TCL_HOOKS environment variable max_parallel_jobs variable 514
525 tcl callbacks
SYN_TCL_HOOKS variable 527 customizing key assignments 526
syn_tco attribute Tcl commands
adding in SCOPE 389 batch script 507
running 512
syn_tco directive 388 syntax for Tcl hooks 527
adding black box constraints 387
Tcl expand
syn_tpd attribute using 145
adding in SCOPE 389
Tcl expand command
syn_tpd directive 388 crossprobing objects 156
adding black box constraints 387 usage tips 150
syn_tsu attribute using in SCOPE 155
adding in SCOPE 389 Tcl files 512
syn_tsu directive 388 creating 515
adding black box constraints 387 for bottom-up synthesis 519
syn_useioff guidelines 55
preventing flops from moving during naming conventions 56
retiming 408 recording from commands 513
synenc encryption 497 synhooks.tcl 525
using variables 516
synhooks wildcards 56
automating message filtering 210
Tcl find
synhooks.tcl file 525, 527 batch mode 153
Synopsys filtering results by property 147
FPGA product family 16 search patterns 145
Synplify Pro synthesis tool using 145
overview 16 Tcl find command
synplify_pro command-line command 20 annotating properties 147
case sensitivity 146
SYNPLIFY_REMOTE_REPORT_LOCATIO crossprobing objects 156
N 197
database differences 155
syntax pattern matching 146
checking source files 38 Tcl window vs SCOPE 154
syntax check 38 usage tips 149
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 583
useful -filter examples 149 invoking 530
using in SCOPE 155 through constraints 140
Tcl Script window AND lists 141
crossprobing 334 OR lists 140
message viewer 205 time stamp, checking on files 65
Tcl script window timing analysis 358
collections compared to SCOPE 154
timing analysis using STA 366
Tcl scripts
examples 519 timing budgeting
See Tcl files. compile points 448
TCL View 134 timing constraints (Legacy) 165
using 134 timing exceptions, adding constraints
using SCOPE 129 after synthesis 370
-tclcmd 506 timing exceptions, modifying with adc
370
Technology view
See also HDL Analyst timing failures 364
critical paths 361 timing information commands 358
crossprobing 329, 330 timing information in HDL views 359
crossprobing collection objects 156
crossprobing from source file 331 timing information, critical paths 363
filtering 277, 340 timing optimization 404
finding objects 320 timing report, stand-alone 366
finding objects with Find 318
timing reports
finding objects with Hierarchy Browser
253, 316 specifying format options 87
flattening hierarchy 289, 347 timing reports, custom 366
general description 295 tips
highlighting collections 161 memory usage 351
opening 297
to constraints
selecting/deselecting objects 302
specifying 140
setting preferences 305
state machine implementation in 427 tool tags
traversing hierarchy 308 creating 530
definition 530
text editor
built-in 39 top level
external 44 specifying 91
using 39 top-down design flow
Text Editor view compile point advantages 436
crossprobing 330 transparent instances
Text Editor window flattening 348
colors 42 lower-level logic on multiple sheets 303
crossprobing 42
fonts 42 U
text files
crossprobing 331 up-to-date checking 184
copying job logs to log file 186
The Synopsys FPGA Product Family 16 limitations 187
third-party vendor tools using 53
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
584 Synopsys Confidential Information January 2024
V initializing RAMs with variable
declarations 399
vendor-specific Tcl commands 527 initializing with signal declarations 397
mixed language files 48
Verilog
specifying top-level entity 91
‘define statements 89
adding attributes and directives 95 VHDL files
adding probes 432 adding library 62
black boxes 382 adding third-party package library 62
black boxes, instantiating 382 vi text editor 44
case sensitivity for Tcl Find command virtual clock, setting (Legacy) 170
146
checking source files 38
choosing a compiler 88 W
creating source files 34
crossprobing from HDL Analyst view warning messages
330 definition 39
defining FSMs 391 warnings
defining state machines with parameter feedback muxes 405
and ’define 392 filtering 206
editing operations 40 sorting 206
extracting parameters 89 Watch window 199
include paths, updating 68 moving 200, 205
initializing RAMs 396 multiple implementations 77
mixed language files 48 resizing 200, 205
specifying compiler directives 89
specifying top-level module 91 wildcards
using library extensions 45 effect of search scope 321
Find command (Tcl) 146
Verilog 2001 message filter 208
setting global option from the Project
view 88 wildcards (Find)
setting option per file 88 examples 323
how they work 263, 321
Verilog library files
using library extensions 45
Verilog model (.vmd) 447
VHDL
adding attributes and directives 93
adding probes 432
black boxes 384
black boxes, instantiating 384
case sensitivity for Tcl Find command
146
checking source file 38
constants 91
creating source files 34
crossprobing from HDL Analyst view
330
defining FSMs 392
editing operations 40
extracting generics 91
global signals in mixed designs 51
Synplify Pro for Microchip Edition User Guide © 2024 Synopsys, Inc.
January 2024 Synopsys Confidential Information 585
LO
© 2024 Synopsys, Inc. Synplify Pro for Microchip Edition User Guide
586 Synopsys Confidential Information January 2024