0% found this document useful (0 votes)
293 views30 pages

Difference Between ICC and Icc2

Uploaded by

ph159032
Copyright
© © All Rights Reserved
We take content rights seriously. If you suspect this is your content, claim it here.
Available Formats
Download as PDF, TXT or read online on Scribd
0% found this document useful (0 votes)
293 views30 pages

Difference Between ICC and Icc2

Uploaded by

ph159032
Copyright
© © All Rights Reserved
We take content rights seriously. If you suspect this is your content, claim it here.
Available Formats
Download as PDF, TXT or read online on Scribd
You are on page 1/ 30

IC Compiler IC Compiler II Category

add_buffer_on_route add_buffer_on_route Closest match


add_clock_drivers No equivalent command
add_distributed_hosts set_host_options Closest match
add_drc_error_detail create_drc_error_shapes Closest match
create_drc_error_data
add_end_cap create_boundary_cells Closest match
add_multisource_drivers No equivalent command
add_open_drc_error_detail create_drc_error_shapes Closest match
create_drc_error_data
add_pg_pin_to_db N/A No equivalent command
add_pg_pin_to_lib N/A No equivalent command
add_port_state add_port_state 1-1 mapping
add_power_state add_power_state 1-1 mapping
add_pst_state add_pst_state 1-1 mapping
add_row No equivalent command
add_tap_cell_array create_tap_cells Similar but not exactly same
add_to_collection add_to_collection 1-1 mapping
add_to_rp_group add_to_rp_group Closest match
adjust_fp_floorplan initialize_floorplan Similar but not exactly same
adjust_fp_io_placement set_signal_io_constraints; add_to_io_guide; Similar but not exactly same
add_to_io_ring
adjust_premesh_connection No equivalent command
after after 1-1 mapping
alias alias 1-1 mapping
align_fp_pins align_pins Similar but not exactly same
align_objects align_objects Similar but not exactly same
all_active_scenarios No equivalent command
all_ao_cells No equivalent command
all_bounds_of_cell get_bounds -of_objects "cells" Similar but not exactly same
all_cells_in_bound get_cells -of_objects "bounds" Similar but not exactly same
all_clocks all_clocks Similar but not exactly same
all_connected all_connected 1-1 mapping
all_connectivity_fanin all_fanin Similar but not exactly same
all_connectivity_fanout all_fanout Similar but not exactly same
all_critical_cells No equivalent command
all_critical_pins No equivalent command
all_designs get_designs Similar but not exactly same
all_dont_touch get_cells / get_nets -hierarchical -filter Similar but not exactly same
dont_touch==true
all_drc_violated_nets No equivalent command
all_fanin all_fanin Similar but not exactly same
all_fanout all_fanout Similar but not exactly same
all_fixed_placement get_cell/get_port -filter physical_status==fixed Closest match

all_high_fanout No equivalent command


all_ideal_nets get_nets -hierarchical -filter is_ideal==true Similar but not exactly same

all_inputs all_inputs 1-1 mapping


all_isolation_cells No equivalent command
all_level_shifters No equivalent command
all_macro_cells get_cells -physical_context -filter Similar but not exactly same
design_type==macro
all_mtcmos_cells No equivalent command
all_objects_in_bounding_box get_objects_by_location Similar but not exactly same
all_outputs all_outputs 1-1 mapping
all_physical_only_cells get_cells -physical_context -filter Similar but not exactly same
is_physical_only==true
all_physical_only_nets get_nets -hierarchical -filter "net_type==power Similar but not exactly same
|| net_type==ground"
IC Compiler IC Compiler II Category
all_physical_only_ports get_ports -physical_context -filter Similar but not exactly same
"port_type==power || port_type==ground"

all_registers all_registers Similar but not exactly same


all_rp_groups get_rp_groups Similar but not exactly same
all_rp_hierarchicals get_rp_groups Similar but not exactly same
all_rp_inclusions get_rp_groups Similar but not exactly same
all_rp_instantiations No equivalent command
all_rp_references No equivalent command
all_scenarios all_scenarios 1-1 mapping
all_size_only_cells get_cells -hierarchical -filter size_only==true Similar but not exactly same

all_spare_cells get_cells -hierarchical -filter Similar but not exactly same


is_spare_cell==true
all_threestate No equivalent command
all_tieoff_cells No equivalent command
allocate_fp_budgets compute_budget_constraints Similar but not exactly same
analyze_design_violations analyze_design_violations 1-1 mapping
analyze_displacement No equivalent command
analyze_fp_rail analyze_rail Similar but not exactly same
analyze_library No equivalent command
analyze_logic_connectivity explore_logic_hierarchy Similar but not exactly same
analyze_mv_design report_mv_path Similar but not exactly same
analyze_rail No equivalent command
analyze_subcircuit analyze_subcircuit Similar but not exactly same
append append 1-1 mapping
append_to_collection append_to_collection 1-1 mapping
apply apply 1-1 mapping
apply_fast_pba_analysis no equivalent command
apropos apropos 1-1 mapping
archive_design write_lib_package Closest match
array array 1-1 mapping
assign_flip_chip_nets No equivalent command
associate_mv_cells associate_mv_cells Similar but not exactly same
associate_supply_set associate_supply_set 1-1 mapping
balance_inter_clock_delay balance_clock_groups Similar but not exactly same
binary binary 1-1 mapping
break break 1-1 mapping
calculate_caa_based_yield2db N/A No equivalent command
case case 1-1 mapping
catch catch 1-1 mapping
cd cd 1-1 mapping
chan chan 1-1 mapping
change_connection No equivalent command
change_fp_soft_macro_to_black_box No equivalent command
change_link change_link Similar but not exactly same
change_macro_view change_view Closest match
change_names No equivalent command
change_selection change_selection 1-1 mapping
change_via_master set_via_def Similar but not exactly same
change_working_design set_working_design Similar but not exactly same
change_working_design_stack set_working_design_stack Similar but not exactly same
characterize No equivalent command
check_block_abstraction No equivalent command
check_clock_tree check_clock_trees 1-1 mapping
check_database No equivalent command
check_design check_design Closest match
check_error No equivalent command
check_fp_budget_result report_budget Similar but not exactly same
IC Compiler IC Compiler II Category
check_fp_pin_alignment check_pin_placement Similar but not exactly same
check_fp_pin_assignment check_pin_placement Similar but not exactly same
check_fp_rail analyze_rail Closest match
check_fp_timing_environment No equivalent command
check_freeze_silicon No equivalent command
check_interface_optimization_setup No equivalent command
check_isolation_cells check_mv_design Closest match
check_legality check_legality 1-1 mapping
check_level_shifters check_mv_design Closest match
check_library report_lib Similar but not exactly same
check_license check_license Similar but not exactly same
check_mesh_net No equivalent command
check_mpc report_constraints Similar but not exactly same
check_mv_design check_mv_design Closest match
check_noise To be implemented - No target yet
check_physical_constraints No equivalent command
check_physical_design check_design Closest match
check_primetime_icc_consistency_settings check_consistency_settings Similar but not exactly same
check_rail No equivalent command
check_reserved_placement_area report_placement* Similar but not exactly same
check_route N/A No equivalent command
check_routeability N/A No equivalent command
check_rp_groups check_rp_constraints Similar but not exactly same
check_scan_chain check_scan_chain 1-1 mapping
check_scenarios No equivalent command
check_signoff_correlation No equivalent command
check_timing check_timing Similar but not exactly same
check_tlu_plus_files No equivalent command
check_zrt_routability check_routability 1-1 mapping
clock_opt clock_opt Closest match
clock_opt_feasibility No equivalent command
close close 1-1 mapping
close_distributed_route N/A No equivalent command
close_mw_cel close_blocks Similar but not exactly same
close_mw_lib close_lib Similar but not exactly same
collection_to_list No equivalent command
commit_fp_group_block_ring commit_block Similar but not exactly same
commit_fp_plan_groups commit_block Similar but not exactly same
commit_fp_rail No equivalent command
commit_skew_group No equivalent command
compare_collections compare_collections 1-1 mapping
compare_delay_calculation No equivalent command
compare_lib N/A No equivalent command
compare_rc No equivalent command
compile_clock_tree synthesize_clock_trees Similar but not exactly same
compile_fp_clock_plan synthesize_clock_trunks Similar but not exactly same
compile_power_plan compile_pg Similar but not exactly same
compile_premesh_tree No equivalent command
compress_scenarios No equivalent command
compute_polygons compute_polygons Similar but not exactly same
concat concat 1-1 mapping
connect_logic_net connect_logic_net Closest match
connect_net connect_net Similar but not exactly same
connect_pin connect_pins Similar but not exactly same
connect_power_switch connect_power_switch Closest match
connect_spare_diode No equivalent command
connect_supply_net connect_supply_net 1-1 mapping
connect_tie_cells No equivalent command
continue continue 1-1 mapping
IC Compiler IC Compiler II Category
convert_from_polygon create_poly_rect Closest match
convert_mw_lib No equivalent command
convert_to_polygon create_poly_rect/create_geo_mask Closest match
convert_wire_ends No equivalent command
convert_wire_to_pin create_terminal Closest match
copy_collection copy_collection 1-1 mapping
copy_floorplan No equivalent command
copy_mim No equivalent command
copy_mw_cel copy_block Similar but not exactly same
copy_mw_lib copy_lib Similar but not exactly same
copy_objects copy_objects Similar but not exactly same
count_drc_violations No equivalent command
cputime cputime 1-1 mapping
create_auto_shield N/A No equivalent command
create_base_array No equivalent command
create_block_abstraction create_abstract 1-1 mapping
create_boundary set_attribute [current_block] boundary Closest match
create_bounds create_bound Similar but not exactly same
create_buffer_tree create_buffer_trees Similar but not exactly same
create_cell create_cell Similar but not exactly same
create_clock create_clock 1-1 mapping
create_command_group create_command_group 1-1 mapping
create_connview No equivalent command
create_die_area set_attribute [current_block] boundary {{x1 y1} Closest match
{x1 y2} … {xn yn} … {x1 y1}}
create_differential_group create_differential_group Similar but not exactly same
create_drc_error create_drc_error Similar but not exactly same
create_drc_error_type create_drc_error_type Similar but not exactly same
create_edit_group create_edit_group Similar but not exactly same
create_floorplan initialize_floorplan Similar but not exactly same
create_fp_block_shielding create_keepout_margin Closest match
create_fp_blockages_for_child_hardmacro create_keepout_margin Closest match
create_fp_group_block_ring create_pg_ring_pattern Similar but not exactly same
create_fp_pins create_pin Similar but not exactly same
create_fp_placement create_placement-floorplan Similar but not exactly same
create_fp_plan_group_padding No equivalent command
create_fp_virtual_pad set_virtual_pad Similar but not exactly same
create_freeze_silicon_leq_change_list No equivalent command
create_generated_clock create_generated_clock Similar but not exactly same
create_lib_track set_attribute [get_layer xx] track_offset Closest match
create_logic_net create_logic_net Closest match
create_logic_port create_logic_port Closest match
create_macro_fram create_frame Similar but not exactly same
create_mask_constraint_route_guides No equivalent command
create_mw_cel create_block Similar but not exactly same
create_mw_lib create_lib Similar but not exactly same
create_net create_net 1-1 mapping
create_net_search_pattern No equivalent command
create_net_shape create_shape -net Similar but not exactly same
create_on_demand_netlist No equivalent command
create_open_drc_error create_drc_error Similar but not exactly same
create_open_locator_drc_error create_drc_error Similar but not exactly same
create_operating_conditions No equivalent command
create_pad_rings compile_pg Similar but not exactly same
create_partition explore_logic_hierarchy Closest match
create_pg_network No equivalent command
create_physical_bus No equivalent command
create_physical_buses_from_patterns No equivalent command
create_pin_guide create_pin_guide Similar but not exactly same
IC Compiler IC Compiler II Category
create_placement create_placement 1-1 mapping
create_placement_blockage create_placement_blockage Similar but not exactly same
create_plan_groups No equivalent command
create_port create_port 1-1 mapping
create_power_domain create_power_domain 1-1 mapping
create_power_plan_regions create_pg_region Similar but not exactly same
create_power_straps create_pg_strap Similar but not exactly same
create_power_switch create_power_switch 1-1 mapping
create_power_switch_array create_power_switch_array Closest match
create_power_switch_ring create_power_switch_ring Closest match
create_preroute_vias create_pg_vias Similar but not exactly same
create_pst create_pst 1-1 mapping
create_qor_snapshot No equivalent command
create_qtm_constraint_arc No equivalent command
create_qtm_delay_arc No equivalent command
create_qtm_drive_type No equivalent command
create_qtm_generated_clock No equivalent command
create_qtm_load_type No equivalent command
create_qtm_model No equivalent command
create_qtm_path_type No equivalent command
create_qtm_port No equivalent command
create_rail_setup No equivalent command
create_rdl_power_extension create_rdl_power_extension 1-1 mapping
create_rdl_shield create_rdl_shields 1-1 mapping
create_rectangular_rings create_pg_ring_pattern; set_pg_strategy; Similar but not exactly same
compile_pg
create_rectilinear_rings create_pg_ring_pattern; set_pg_strategy; Similar but not exactly same
compile_pg
create_register_bank create_multibit 1-1 mapping
create_route_guide create_routing_guide Similar but not exactly same
create_routing_blockage create_routing_blockage Similar but not exactly same
create_routing_corridor create_routing_corridor Similar but not exactly same
create_rp_group create_rp_group Closest match
create_scenario create_scenario Similar but not exactly same
create_short_drc_error create_drc_error Similar but not exactly same
create_signoff_setup No equivalent command
create_site_row create_site_row Similar but not exactly same
create_spacing_drc_error create_drc_error Similar but not exactly same
create_stack_via_on_pad_pin create_pg_vias Closest match
create_supply_net create_supply_net 1-1 mapping
create_supply_port create_supply_port 1-1 mapping
create_supply_set create_supply_set 1-1 mapping
create_terminal create_shape -port + create_terminal Closest match
create_text create_shape Similar but not exactly same
create_track create_track Similar but not exactly same
create_user_shape create_shape Similar but not exactly same
create_via create_via Similar but not exactly same
create_via_master create_via_def Similar but not exactly same
create_via_region create_via_region To be implemented - No target yet
create_voltage_area create_voltage_area Similar but not exactly same
create_voltage_area_feedthroughs No equivalent command
create_wiring_keepouts No equivalent command
create_zrt_shield create_shields 1-1 mapping
current_design current_design Closest match
current_design_name get_attribute [current_design] name Similar but not exactly same
current_instance current_instance 1-1 mapping
current_mw_cel current_block Similar but not exactly same
current_mw_lib current_lib Similar but not exactly same
current_scenario current_scenario Similar but not exactly same
IC Compiler IC Compiler II Category
cut_fp_preroutes_into_plan_groups push_down_objects Similar but not exactly same
cut_objects reshape_objects Similar but not exactly same
cut_row No equivalent command
date date 1-1 mapping
decrypt_lib N/A No equivalent command
define_antenna_accumulation_mode define_antenna_accumulation_mode 1-1 mapping
define_antenna_area_rule define_antenna_area_rule 1-1 mapping
define_antenna_layer_ratio_scale define_antenna_layer_ratio_scale 1-1 mapping
define_antenna_layer_rule define_antenna_layer_rule 1-1 mapping
define_antenna_rule define_antenna_rule 1-1 mapping
define_bus No equivalent command
define_io_antenna_area set_attribute <port> antenna_area Similar but not exactly same
define_io_diode_protection set_attribute <port> diode_protection Similar but not exactly same
define_io_gate_size set_attribute <port> gate_area Similar but not exactly same
define_libcell_subset To be implemented - No target yet
define_name_rules No equivalent command
define_proc_attributes define_proc_attributes 1-1 mapping
define_routing_rule create_routing_rule Closest match
define_scaling_lib_group No equivalent command
define_user_attribute define_user_attribute -persistent 1-1 mapping
define_user_attribute define_user_attribute Similar but not exactly same
-type -range_min -range_max -one_of -type -range_min -range_max -one_of
<attr_name> -name <attr_name>
-class <class_name> -classes <class_list>
-persistent
define_via No equivalent command
define_voltage_area_routing_rule No equivalent command
define_zrt_redundant_vias add_via_mapping Similar but not exactly same
delete_operating_conditions No equivalent command
derive_constraints No equivalent command
derive_mpc_macro_options No equivalent command
derive_mpc_options No equivalent command
derive_mpc_port_options No equivalent command
derive_pg_connection connect_pg_net Closest match
derive_placement_blockages derive_placement_blockages 1-1 mapping
derive_reserved_placement_area report_placement* Closest match
dict dict 1-1 mapping
disable_double_patterning_rules No equivalent command
disconnect_net disconnect_net Similar but not exactly same
display_flip_chip_route_flylines View>Flylines>RDL Flylines Similar but not exactly same
display_rdl_route_flylines View>Flylines>RDL Flylines Similar but not exactly same
distribute_objects align_objects Similar but not exactly same
drive_of No equivalent command
echo echo 1-1 mapping
eco_netlist eco_netlist Closest match
enable_double_patterning_rules No equivalent command
enable_primetime_icc_consistency_settings No equivalent command
enable_write_lib_mode No equivalent command
encoding encoding 1-1 mapping
end_fp_trace_mode No equivalent command
eof eof 1-1 mapping
error error 1-1 mapping
error_info error_info 1-1 mapping
estimate_fp_area No equivalent command
estimate_fp_black_boxes create_blackbox* Similar but not exactly same
estimate_rc No equivalent command
eval eval 1-1 mapping
evaluate_macro_placement report_placement Closest match
exec exec 1-1 mapping
IC Compiler IC Compiler II Category
exit exit 1-1 mapping
expand_flip_chip_cell_locations No equivalent command
expand_objects expand_objects Similar but not exactly same
explore_power_switch report_power_switch* Closest match
expr expr 1-1 mapping
extend_mw_layers No equivalent command
extract_blockage_pin_via create_frame Similar but not exactly same
extract_fp_rail_to_constraints analyze_rail Closest match
extract_fp_relative_location copy_relative_placement Closest match
extract_fram_property N/A No equivalent command
extract_hier_antenna_property N/A No equivalent command
extract_rc update_timing Similar but not exactly same
extract_rp_group No equivalent command
extract_zrt_hier_antenna_property derive_hier_antenna_property 1-1 mapping
fblocked fblocked 1-1 mapping
fconfigure fconfigure 1-1 mapping
fcopy fcopy 1-1 mapping
file file 1-1 mapping
fileevent fileevent 1-1 mapping
filter_collection filter_collection 1-1 mapping
find_objects find_objects 1-1 mapping
fix_isolated_via N/A No equivalent command
fix_signal_em No equivalent command
flatten_clock_gating No equivalent command
flatten_fp_black_boxes No equivalent command
flatten_fp_hierarchy explore_logic_hierarchy Similar but not exactly same
flip_mim gui_select_mib_cells_of_selected Closest match
flip_objects flip_objects Similar but not exactly same
flush flush 1-1 mapping
focal_opt No equivalent command
for for 1-1 mapping
foreach foreach 1-1 mapping
foreach_in_collection foreach_in_collection 1-1 mapping
format format 1-1 mapping
get_adjusted_endpoints No equivalent command
get_alternative_lib_cells No equivalent command
get_always_on_logic No equivalent command
get_app_var get_app_var 1-1 mapping
get_attribute get_attribute Similar but not exactly same
get_bounds get_bounds 1-1 mapping
get_buffers No equivalent command
get_cell_sites No equivalent command
get_cells get_cells Similar but not exactly same
get_clocks get_clocks Similar but not exactly same
get_command_option_values get_command_option_values 1-1 mapping
get_core_area get_core_area 1-1 mapping
get_coupling_capacitors No equivalent command
get_cts_scenario No equivalent command
get_defined_commands get_defined_commands 1-1 mapping
get_design_lib_path No equivalent command
get_die_area No equivalent command
get_dominant_scenarios No equivalent command
get_dont_touch_cells get_cells -hierarchical -filter dont_touch==true Similar but not exactly same

get_dont_touch_nets get_nets -hierarchical -filter dont_touch==true Similar but not exactly same

get_drc_errors get_drc_errors Similar but not exactly same


get_edit_groups get_edit_groups Similar but not exactly same
get_em_max_toggle_rate No equivalent command
IC Compiler IC Compiler II Category
get_error_view_property get_drc_errors;get_drc_error_types Closest match
get_fill_cells get_fill_cells Similar but not exactly same
get_flat_cells get_cells -physical_context Similar but not exactly same
get_flat_nets get_nets -physical_context Similar but not exactly same
get_flat_pins get_pins -physical_context Similar but not exactly same
get_floorplan_data write_floorplan Closest match
get_fp_trace_mode View>Mouse Tool>Timing Ruler; Closest match
View>Flylines>Net Connections
get_fp_wirelength route_global Closest match
get_generated_clocks get_generated_clocks Similar but not exactly same
get_latch_loop_groups get_latch_loop_groups 1-1 mapping
get_layer_attribute get_attribute Similar but not exactly same
get_layers get_layers Similar but not exactly same
get_lib_attribute get_attribute 1-1 mapping
get_lib_cells get_lib_cells Similar but not exactly same
get_lib_pins get_lib_pins 1-1 mapping
get_libs get_libs Closest match
get_license get_licenses 1-1 mapping
get_location get_attribute Similar but not exactly same
get_magnet_cells No equivalent command
get_matching_nets_for_pattern No equivalent command
get_mesh_nets No equivalent command
get_message_ids get_message_ids 1-1 mapping
get_message_info get_message_info 1-1 mapping
get_mw_cels get_blocks Similar but not exactly same
get_net_shapes get_shapes Similar but not exactly same
get_nets get_nets Similar but not exactly same
get_new_bounds No equivalent command
get_object_fixed_edit set_fixed_objects Closest match
get_object_name get_object_name 1-1 mapping
get_object_snap_type set_snap_setting Closest match
get_path_groups get_path_groups Similar but not exactly same
get_physical_buses No equivalent command
get_physical_lib_cells get_lib_cells Similar but not exactly same
get_physical_lib_pins get_lib_pins Similar but not exactly same
get_physical_libs get_libs Closest match
get_pin_guides get_pin_guides Similar but not exactly same
get_pin_shapes get_shapes Similar but not exactly same
get_pins get_pins Similar but not exactly same
get_placement_area No equivalent command
get_placement_blockages get_placement_blockages Similar but not exactly same
get_plan_group_pin_shapes get_pins Closest match
get_plan_groups No equivalent command
get_polygon_area compute_area Similar but not exactly same
get_ports get_ports Similar but not exactly same
get_power_domains get_power_domains 1-1 mapping
get_power_plan_regions get_pg_regions Similar but not exactly same
get_power_switches get_power_switch_patterns Similar but not exactly same
get_rdl_nets report_rdl_routes Similar but not exactly same
get_related_supply_net get_related_supply_nets Similar but not exactly same
get_route_guides get_routing_guides Similar but not exactly same
get_route_mode_options N/A No equivalent command
get_route_opt_zrt_crosstalk_options No equivalent command
get_route_zrt_common_options get_app_options Similar but not exactly same
get_route_zrt_detail_options get_app_options Similar but not exactly same
get_route_zrt_global_options get_app_options Similar but not exactly same
get_route_zrt_track_options get_app_options Similar but not exactly same
get_routing_blockages get_routing_blockages Similar but not exactly same
get_rp_group_keepouts get_rp_blockages Similar but not exactly same
IC Compiler IC Compiler II Category
get_rp_groups get_rp_groups Similar but not exactly same
get_rp_groups_net_length No equivalent command
get_scan_cells_of_chain get_cells_of_scan_chain 1-1 mapping
get_scan_chains get_scan_chain_count 1-1 mapping
get_scenarios get_scenarios Similar but not exactly same
get_selection get_selection 1-1 mapping
get_si_xtalk_bumps To be implemented - No target yet
get_site_rows get_site_rows Similar but not exactly same
get_supply_nets get_supply_nets Similar but not exactly same
get_supply_ports get_supply_ports Similar but not exactly same
get_terminals get_terminals Similar but not exactly same
get_text get_shapes Similar but not exactly same
get_timing_paths get_timing_paths Similar but not exactly same
get_tracks get_tracks Similar but not exactly same
get_unix_variable get_unix_variable 1-1 mapping
get_user_grid get_grids Closest match
get_user_shapes get_shapes Similar but not exactly same
get_utilization report_utilization Closest match
get_via_masters get_via_defs Similar but not exactly same
get_via_regions get_via_regions Similar but not exactly same
get_vias get_vias Similar but not exactly same
get_voltage_area_shapes get_voltage_area_shapes 1-1 mapping
get_voltage_areas get_voltage_areas 1-1 mapping
get_working_design_stack get_working_design_stack Similar but not exactly same
get_zero_interconnect_delay_mode No equivalent command
get_zrt_net_properties No equivalent command
getenv getenv 1-1 mapping
gets gets 1-1 mapping
glob glob 1-1 mapping
global global 1-1 mapping
group group_cells Similar but not exactly same
group_path group_path Similar but not exactly same
gui_bin gui_bin 1-1 mapping
gui_change_highlight gui_change_highlight Similar but not exactly same
gui_create_attrgroup gui_create_attrgroup Similar but not exactly same
gui_create_pref_category gui_create_pref_category Similar but not exactly same
gui_create_pref_key gui_create_pref_key Similar but not exactly same
gui_create_vm gui_create_vm Similar but not exactly same
gui_create_vm_objects gui_create_vm_objects Similar but not exactly same
gui_create_vmbucket gui_create_vmbucket Similar but not exactly same
gui_delete_attrgroup gui_delete_attrgroup Similar but not exactly same
gui_edit_vmbucket_contents gui_edit_vmbucket_contents Similar but not exactly same
gui_eval_command gui_eval_command Similar but not exactly same
gui_exist_pref_category gui_exist_pref_category Similar but not exactly same
gui_exist_pref_key gui_exist_pref_key Similar but not exactly same
gui_get_bucket_option gui_get_bucket_option Similar but not exactly same
gui_get_bucket_option_list gui_get_bucket_option_list Similar but not exactly same
gui_get_current_task gui_get_current_task Similar but not exactly same
gui_get_highlight gui_get_highlight Similar but not exactly same
gui_get_highlight_options gui_get_highlight_options Similar but not exactly same
gui_get_layer_widths gui_get_layer_widths Similar but not exactly same
gui_get_map_list gui_get_map_list Similar but not exactly same
gui_get_map_option gui_get_map_option Similar but not exactly same
gui_get_map_option_list gui_get_map_option_list Similar but not exactly same
gui_get_pref_keys gui_get_pref_keys Similar but not exactly same
gui_get_pref_value gui_get_pref_value Similar but not exactly same
gui_get_routes_between_objects gui_get_routes_between_objects Similar but not exactly same
gui_get_setting gui_get_setting Similar but not exactly same
gui_get_task_list gui_get_task_list Similar but not exactly same
IC Compiler IC Compiler II Category
gui_get_vm gui_get_vm Similar but not exactly same
gui_get_vmbucket gui_get_vmbucket Similar but not exactly same
gui_get_window_ids gui_get_window_ids Similar but not exactly same
gui_get_window_pref_categories gui_get_window_pref_categories Similar but not exactly same
gui_get_window_pref_keys gui_get_window_pref_keys Similar but not exactly same
gui_get_window_pref_value gui_get_window_pref_value Similar but not exactly same
gui_get_window_types gui_get_window_types Similar but not exactly same
gui_list_attrgroups gui_list_attrgroups Similar but not exactly same
gui_load_cell_density_mm gui_load_cell_density_mm Similar but not exactly same
gui_load_pin_density_mm gui_load_pin_density_mm Similar but not exactly same
gui_remove_pref_key gui_remove_pref_key Similar but not exactly same
gui_remove_selected_objects Edit>Delete Closest match
gui_remove_vm gui_remove_vm Similar but not exactly same
gui_remove_vmbucket gui_remove_vmbucket Similar but not exactly same
gui_set_bucket_option gui_set_bucket_option Similar but not exactly same
gui_set_cells_of_selected change_selection Closest match
gui_set_clock_sources_of_selected No equivalent command
gui_set_connected_cells_of_selected change_selection Closest match
gui_set_current_task gui_set_current_task Similar but not exactly same
gui_set_edit_group_children_of_selected change_selection Closest match
gui_set_highlight_options gui_set_highlight_options Similar but not exactly same
gui_set_layer_widths gui_set_layer_widths Similar but not exactly same
gui_set_leaf_cells_of_selected change_selection Closest match
gui_set_map_option gui_set_map_option Similar but not exactly same
gui_set_net_flylines_of_selected gui_highlight_nets_of_selected Closest match
gui_set_nets_of_selected gui_highlight_nets_of_selected Closest match
gui_set_netshapes_of_selected gui_select_shapes_of_selected Similar but not exactly same
gui_set_physical_buses_of_selected gui_select_bundles_of_selected Closest match
gui_set_pin_shapes_of_selected get_pins Closest match
gui_set_plan_group_pin_shapes_of_selected No equivalent command
gui_set_plan_groups_of_selected No equivalent command
gui_set_port_and_pins_of_selected get_pins Closest match
gui_set_pref_value gui_set_pref_value Similar but not exactly same
gui_set_rdl_routes_of_selected gui_select_connected_rdl_net_shapes Similar but not exactly same
gui_set_routes_of_selected change_selection Closest match
gui_set_setting gui_set_setting Similar but not exactly same
gui_set_shape_endcap gui_select_shapes_of_selected Closest match
gui_set_terminals_of_selected gui_select_terminals_of_selected Similar but not exactly same
gui_set_vias_of_selected gui_select_net_vias_of_selected Similar but not exactly same
gui_set_vm gui_set_vm Similar but not exactly same
gui_set_vmbucket gui_set_vmbucket Similar but not exactly same
gui_set_voltage_areas_of_selected gui_select_voltage_areas_of_selected Similar but not exactly same
gui_show_man_page gui_show_man_page Similar but not exactly same
gui_show_map gui_show_map Similar but not exactly same
gui_start gui_start Similar but not exactly same
gui_stop gui_stop Similar but not exactly same
gui_update_attrgroup gui_update_attrgroup Similar but not exactly same
gui_update_pref_file gui_update_pref_file Similar but not exactly same
gui_update_vm gui_update_vm Similar but not exactly same
gui_update_vm_annotations gui_update_vm_annotations Similar but not exactly same
help help 1-1 mapping
history history 1-1 mapping
hookup_retention_register No equivalent command
hookup_testports No equivalent command
identify_clock_gating No equivalent command
if if 1-1 mapping
ignore_site_row No equivalent command
import_designs No equivalent command
import_fp_black_boxes create_blackbox* Closest match
IC Compiler IC Compiler II Category
incr incr 1-1 mapping
index_collection index_collection 1-1 mapping
info info 1-1 mapping
initialize_rectilinear_block initialize_floorplan Similar but not exactly same
insert_boundary_cell create_boundary_cells Similar but not exactly same
insert_buffer add_buffer Closest match
insert_diode N/A No equivalent command
insert_isolation_cell create_mv_cells -isolation 1-1 mapping
insert_level_shifters create_mv_cells -level_shifter 1-1 mapping
insert_metal_filler signoff_create_metal_fill Closest match
insert_mv_cells create_mv_cells Closest match
insert_ng_filler No equivalent command
insert_pad_filler create_io_filler_cells Similar but not exactly same
insert_port_protection_diodes add_port_protection_diodes Similar but not exactly same
insert_redundant_vias N/A No equivalent command
insert_self_gating_dft_logic No equivalent command
insert_spare_cells add_spare_cells Closest match
insert_stdcell_filler create_stdcell_fillers Similar but not exactly same
insert_tap_cells_by_rules create_tap_cells Similar but not exactly same
insert_well_filler No equivalent command
insert_zrt_diodes create_diodes 1-1 mapping
insert_zrt_redundant_vias add_redundant_vias 1-1 mapping
interp interp 1-1 mapping
is_double_patterning_enabled No equivalent command
is_false is_false 1-1 mapping
is_true is_true 1-1 mapping
is_zrt_routed_design N/A No equivalent command
join join 1-1 mapping
lappend lappend 1-1 mapping
lassign lassign 1-1 mapping
legalize_fp_placement legalize_placement Similar but not exactly same
legalize_placement legalize_placement 1-1 mapping
legalize_rp_placement legalize_rp_groups Similar but not exactly same
lib2saif No equivalent command
license_users No equivalent command
lindex lindex 1-1 mapping
link link Similar but not exactly same
link_physical_library No equivalent command
linsert linsert 1-1 mapping
list list 1-1 mapping
list_attributes list_attributes 1-1 mapping
list_dont_touch_types No equivalent command
list_drc_error_types get_drc_error_types Closest match
list_files No equivalent command
list_floorplan_data list_attributes Similar but not exactly same
list_instances No equivalent command
list_libs No equivalent command
list_licenses list_licenses 1-1 mapping
list_mw_cels list_blocks Similar but not exactly same
list_partition_data list_attributes Similar but not exactly same
llength llength 1-1 mapping
lminus lminus 1-1 mapping
load No equivalent command
load_fp_rail_map set_constraint_mapping_file Similar but not exactly same
load_of get_attributelib_pinpin_capacitance Similar but not exactly same
load_upf load_upf 1-1 mapping
lrange lrange 1-1 mapping
lrepeat lrepeat 1-1 mapping
lreplace lreplace 1-1 mapping
IC Compiler IC Compiler II Category
lreverse lreverse 1-1 mapping
ls ls 1-1 mapping
lsearch lsearch 1-1 mapping
lset lset 1-1 mapping
lsort lsort 1-1 mapping
magnet_placement magnet_placement Closest match
man man 1-1 mapping
map_freeze_silicon No equivalent command
map_isolation_cell map_isolation_cell 1-1 mapping
map_level_shifter_cell map_level_shifter_cell 1-1 mapping
map_power_switch map_power_switch 1-1 mapping
map_retention_cell map_retention_cell 1-1 mapping
map_unit_tiles No equivalent command
mark_clock_tree mark_clock_trees Similar but not exactly same
mem mem 1-1 mapping
merge_clock_gates merge_clock_gates 1-1 mapping
merge_flip_chip_nets No equivalent command
merge_fp_hierarchy explore_logic_hierarchy Similar but not exactly same
merge_net_shapes merge_objects Closest match
merge_saif No equivalent command
modify_rp_groups modify_rp_groups 1-1 mapping
move_mw_cel_origin No equivalent command
move_objects move_objects Similar but not exactly same
move_pins_on_edge No equivalent command
multicorner_check_cells No equivalent command
multicorner_is_on No equivalent command
name_format No equivalent command
namespace namespace 1-1 mapping
open open 1-1 mapping
open_mw_cel open_block Similar but not exactly same
open_mw_lib open_lib Similar but not exactly same
optimize_clock_tree synthesize_clock_trees Similar but not exactly same
optimize_dft optimize_dft 1-1 mapping
optimize_flip_chip_route optimize_rdl_routes Similar but not exactly same
optimize_fp_timing estimate_timing Closest match
optimize_power_switch No equivalent command
optimize_pre_cts_power No equivalent command
optimize_rdl_route optimize_rdl_routes Similar but not exactly same
optimize_wire_via N/A No equivalent command
optimize_zrt_wire_via optimize_routes 1-1 mapping
order_rp_groups No equivalent command
pack_fp_macro_in_area set_macro_constraints Closest match
package package 1-1 mapping
parse_proc_arguments parse_proc_arguments 1-1 mapping
pid pid 1-1 mapping
place_eco_cells place_eco_cells 1-1 mapping
place_flip_chip_array create_bump_array Similar but not exactly same
place_flip_chip_drivers No equivalent command
place_flip_chip_ring No equivalent command
place_fp_pins place_pins Similar but not exactly same
place_freeze_silicon place_freeze_silicon 1-1 mapping
place_opt place_opt Closest match
place_opt_feasibility No equivalent command
prepare_mw_lib N/A No equivalent command
preroute_focal_opt refine_opt Similar but not exactly same
preroute_instances create_pg_macro_conn_pattern; Similar but not exactly same
set_pg_strategy; compile_pg
preroute_standard_cells create_pg_std_cell_conn_pattern; Similar but not exactly same
set_pg_strategy; compile_pg
IC Compiler IC Compiler II Category
preview No equivalent command
print_message_info print_message_info 1-1 mapping
print_suppressed_messages print_suppressed_messages 1-1 mapping
printenv printenv 1-1 mapping
printvar printvar 1-1 mapping
proc proc 1-1 mapping
proc_args proc_args 1-1 mapping
proc_body proc_body 1-1 mapping
process_particle_probability_file No equivalent command
propagate_all_clocks No equivalent command
propagate_clock_constraints No equivalent command
propagate_constraints promote_constraints Similar but not exactly same
propagate_pin_mask_constraint propagate_pin_mask_constraint Similar but not exactly same
propagate_pin_mask_to_via_metal No equivalent command
propagate_switching_activity propagate_switching_activity Similar but not exactly same
psynopt refine_opt Similar but not exactly same
push_down_fp_objects push_down_objects Similar but not exactly same
push_flip_chip_route push_rdl_routes Similar but not exactly same
push_rdl_route push_rdl_routes Similar but not exactly same
push_up_fp_objects pop_up_objects Similar but not exactly same
puts puts 1-1 mapping
pwd pwd 1-1 mapping
query_cell_instances No equivalent command
query_cell_mapped No equivalent command
query_map_power_switch No equivalent command
query_net_ports No equivalent command
query_objects query_objects 1-1 mapping
query_on_demand_netlist No equivalent command
query_port_net No equivalent command
query_port_state save_upf Closest match
query_power_switch save_upf Closest match
query_pst save_upf Closest match
query_pst_state save_upf Closest match
query_qor_snapshot No equivalent command
quit quit 1-1 mapping
quit! quit! 1-1 mapping
read read 1-1 mapping
read_aif read_aif 1-1 mapping
read_antenna_violation No equivalent command
read_aocvm read_ocvm Similar but not exactly same
read_cell_expansion No equivalent command
read_ddc No equivalent command
read_def read_def Similar but not exactly same
read_drc_error_file open_drc_error_data Closest match
read_file No equivalent command
read_floorplan source write_floorplan Closest match
read_lib N/A No equivalent command
read_parasitics read_parasitics 1-1 mapping
read_partition No equivalent command
read_pin_pad_physical_constraints set_signal_io_constraints Similar but not exactly same
read_power_plan_regions No equivalent command
read_rail_maps No equivalent command
read_saif read_saif Similar but not exactly same
read_sdc read_sdc 1-1 mapping
read_sdf No equivalent command
read_signal_em_constraints read_signal_em_constraints 1-1 mapping
read_stream read_gds (in icc2_lm_shell) Similar but not exactly same
read_tdf_ports No equivalent command
read_verilog read_verilog Similar but not exactly same
IC Compiler IC Compiler II Category
rebuild_mw_lib No equivalent command
recompute_fit_bbox No equivalent command
record_cell_locations No equivalent command
recover_tie_connection No equivalent command
redirect redirect 1-1 mapping
redo redo Similar but not exactly same
reduce_fp_rail_stacked_via No equivalent command
refine_fp_macro_channels No equivalent command
refine_placement refine_placement 1-1 mapping
regexp regexp 1-1 mapping
regsub regsub 1-1 mapping
remove_all_spacing_rules remove_placement_spacing_rules Closest match
remove_annotated_check No equivalent command
remove_annotated_delay remove_annotated_delay Similar but not exactly same
remove_annotated_transition remove_annotated_transition Similar but not exactly same
remove_annotations No equivalent command
remove_antenna_rules remove_antenna_rules 1-1 mapping
remove_aocvm remove_ocvm Similar but not exactly same
remove_attachment_file remove_attachments Similar but not exactly same
remove_attribute remove_attributes Similar but not exactly same
remove_banking_guidance_strategy No equivalent command
remove_base_arrays No equivalent command
remove_bounds remove_bounds Similar but not exactly same
remove_buffer remove_buffers Similar but not exactly same
remove_buffer_tree remove_buffer_trees Similar but not exactly same
remove_bus No equivalent command
remove_case_analysis remove_case_analysis Similar but not exactly same
remove_cell remove_cells Similar but not exactly same
remove_cell_degradation No equivalent command
remove_cell_sites No equivalent command
remove_cell_vt_type set_attribute to remove the attribute Similar but not exactly same
remove_checkpoint_designs No equivalent command
remove_clock remove_clocks 1-1 mapping
remove_clock_cell_spacing remove_clock_cell_spacings 1-1 mapping
remove_clock_gates No equivalent command
remove_clock_gating_check remove_clock_gating_check Similar but not exactly same
remove_clock_groups remove_clock_groups Similar but not exactly same
remove_clock_latency remove_clock_latency Similar but not exactly same
remove_clock_sense remove_clock_sense 1-1 mapping
remove_clock_transition remove_clock_transition Similar but not exactly same
remove_clock_tree remove_clock_trees 1-1 mapping
remove_clock_tree_exceptions remove_clock_balance_points Similar but not exactly same
remove_clock_tree_options remove_clock_tree_options Similar but not exactly same
remove_clock_uncertainty remove_clock_uncertainty Similar but not exactly same
remove_congestion_options No equivalent command
remove_cts_scenario No equivalent command
remove_dangling_wires No equivalent command
remove_data_check remove_data_check Similar but not exactly same
remove_design remove_modules Closest match
remove_die_area set_attribute [current_block] boundary {} Closest match

remove_diode remove_cell Similar but not exactly same


remove_disable_clock_gating_check remove_disable_clock_gating_check 1-1 mapping
remove_disable_timing remove_disable_timing Similar but not exactly same
remove_distributed_hosts No equivalent command
remove_distributed_route N/A No equivalent command
remove_dont_touch_placement set_attribute to remove the attribute Similar but not exactly same
remove_drc_error remove_drc_errors_data Similar but not exactly same
remove_driving_cell remove_driving_cell Similar but not exactly same
remove_edit_groups remove_edit_groups Similar but not exactly same
IC Compiler IC Compiler II Category
remove_fast_pba_analysis No equivalent command
remove_filler_with_violation remove_stdcell_fillers_with_violation 1-1 mapping
remove_flip_chip_route remove_routes-rdl Similar but not exactly same
remove_floating_pg No equivalent command
remove_fp_block_shielding remove_keepout_margins Similar but not exactly same
remove_fp_feedthroughs remove_feedthroughs Similar but not exactly same
remove_fp_pin_constraints remove_block_pin_constraints Similar but not exactly same
remove_fp_pin_overlaps No equivalent command
remove_fp_plan_group_padding No equivalent command
remove_fp_rail_stacked_via remove_via_mappings Closest match
remove_fp_rail_voltage_area_constraints No equivalent command
remove_fp_relative_location No equivalent command
remove_fp_virtual_pad remove_virtual_pads Similar but not exactly same
remove_fp_voltage_area_constraints No equivalent command
remove_from_collection remove_from_collection 1-1 mapping
remove_from_rp_group remove_from_rp_group Similar but not exactly same
remove_generated_clock remove_generated_clocks 1-1 mapping
remove_host_options remove_host_options 1-1 mapping
remove_ideal_latency remove_ideal_latency Similar but not exactly same
remove_ideal_net No equivalent command
remove_ideal_network remove_ideal_network Similar but not exactly same
remove_ideal_transition remove_ideal_transition Similar but not exactly same
remove_ignore_cell_timing No equivalent command
remove_ignored_layers remove_ignored_layers 1-1 mapping
remove_input_delay remove_input_delay Similar but not exactly same
remove_io_antenna_properties remove_attributes Similar but not exactly same
remove_isolate_ports No equivalent command
remove_isolation_cell remove_cells Closest match
remove_keepout_margin remove_keepout_margins Similar but not exactly same
remove_left_right_filler_rule No equivalent command
remove_level_shifters remove_cells Closest match
remove_libcell_subset To be implemented - No target yet
remove_license remove_licenses 1-1 mapping
remove_link_library_subset No equivalent command
remove_map_power_switch remove_cells Similar but not exactly same
remove_mim_property No equivalent command
remove_min_pulse_width No equivalent command
remove_mw_cel remove_blocks Similar but not exactly same
remove_net remove_nets 1-1 mapping
remove_net_routing set_routing_rule Similar but not exactly same
remove_net_routing_corridor remove_from_routing_corridor 1-1 mapping
remove_net_routing_layer_constraints set_routing_rule -clear Similar but not exactly same
remove_net_search_pattern No equivalent command
remove_net_shape remove_shapes Similar but not exactly same
remove_net_timing_spacing No equivalent command
remove_noise_immunity_curve To be implemented - No target yet
remove_noise_lib_pin To be implemented - No target yet
remove_noise_margin To be implemented - No target yet
remove_objects remove_objects 1-1 mapping
remove_on_demand_netlist_data No equivalent command
remove_output_delay remove_output_delay Similar but not exactly same
remove_partition explore_logic_hierarchy Closest match
remove_pg_network No equivalent command
remove_physical_bus No equivalent command
remove_pi_model No equivalent command
remove_pin_guides remove_pin_guides 1-1 mapping
remove_pin_name_synonym No equivalent command
remove_pin_pad_physical_constraints remove_block_pin_constraints Closest match
remove_placement reset_placement 1-1 mapping
IC Compiler IC Compiler II Category
remove_placement_blockage remove_placement_blockages Similar but not exactly same
remove_plan_groups No equivalent command
remove_pnet_options No equivalent command
remove_port remove_ports 1-1 mapping
remove_power_domain reset_upf Closest match
remove_power_plan_regions remove_pg_regions Similar but not exactly same
remove_power_plan_strategy remove_pg_strategies Similar but not exactly same
remove_power_ring_strategy remove_pg_strategies Similar but not exactly same
remove_power_switch remove_cells Closest match
remove_preferred_routing_direction set_attribute Similar but not exactly same
remove_propagated_clock remove_propagated_clocks 1-1 mapping
remove_qor_snapshot No equivalent command
remove_rail_integrity_layout_check_strategy No equivalent command
remove_rail_maps No equivalent command
remove_reserved_placement_area No equivalent command
remove_route_by_type remove_routes 1-1 mapping
remove_route_guide remove_routing_guides Similar but not exactly same
remove_routing_blockage remove_routing_blockages 1-1 mapping
remove_routing_corridor remove_routing_corridors Similar but not exactly same
remove_routing_rules remove_routing_rules 1-1 mapping
remove_row_type No equivalent command
remove_rp_group_options remove_rp_group_options Similar but not exactly same
remove_rp_groups remove_rp_groups Similar but not exactly same
remove_scaling_lib_group No equivalent command
remove_scan_def remove_scan_def 1-1 mapping
remove_scenario remove_scenarios Similar but not exactly same
remove_sdc No equivalent command
remove_self_gating_logic No equivalent command
remove_site_row remove_site_rows 1-1 mapping
remove_skew_group remove_clock_skew_groups 1-1 mapping
remove_stdcell_filler remove_cells Closest match
remove_steady_state_resistance To be implemented - No target yet
remove_supply_net reset_upf Closest match
remove_supply_port reset_upf Closest match
remove_target_library_subset No equivalent command
remove_terminal remove_terminals 1-1 mapping
remove_text remove_shapes Similar but not exactly same
remove_tie_cells remove_cells Closest match
remove_track remove_tracks 1-1 mapping
remove_unconnected_ports No equivalent command
remove_user_budgeted_delays No equivalent command
remove_user_shape remove_shapes 1-1 mapping
remove_via remove_vias 1-1 mapping
remove_via_region To be implemented - No target yet
remove_voltage_area remove_voltage_areas 1-1 mapping
remove_voltage_area_routing_rule No equivalent command
remove_vt_filler_rule No equivalent command
remove_well_filler No equivalent command
remove_xtalk_prop To be implemented - No target yet
remove_zrt_filler_with_violation remove_stdcell_fillers_with_violation Similar but not exactly same
remove_zrt_redundant_shapes remove_redundant_shapes 1-1 mapping
rename rename 1-1 mapping
rename_mw_cel move_block Similar but not exactly same
rename_mw_lib move_lib Similar but not exactly same
replace_cell_reference set_reference Closest match
replace_power_switch No equivalent command
report_access_preference_route_guide No equivalent command
report_adjusted_endpoints No equivalent command
report_ahfs_options set_app_options Similar but not exactly same
IC Compiler IC Compiler II Category
report_annotated_check No equivalent command
report_annotated_delay No equivalent command
report_annotated_transition No equivalent command
report_antenna_ratio check_routes -antenna Similar but not exactly same
report_antenna_rules report_antenna_rules 1-1 mapping
report_aocvm report_ocvm Similar but not exactly same
report_app_var report_app_var 1-1 mapping
report_area report_design Closest match
report_area_recovery_options set_app_options Similar but not exactly same
report_attribute report_attributes Similar but not exactly same
report_banking_guidance_strategy No equivalent command
report_block_abstraction report_abstracts 1-1 mapping
report_bounds report_bounds Similar but not exactly same
report_buffer_tree report_buffer_trees Similar but not exactly same
report_buffer_tree_qor No equivalent command
report_bus No equivalent command
report_case_analysis report_case_analysis 1-1 mapping
report_cell report_cells Similar but not exactly same
report_cell_em No equivalent command
report_cell_mode report_cell_modes Closest match
report_cell_physical No equivalent command
report_cell_vt_type report_attributes Similar but not exactly same
report_channel_capacity No equivalent command
report_check_library_options set_app_options Similar but not exactly same
report_checkpoint_designs No equivalent command
report_clock report_clocks Similar but not exactly same
report_clock_cell_spacing report_clock_cell_spacings 1-1 mapping
report_clock_gating No equivalent command
report_clock_gating_check report_clock_gating_checks Similar but not exactly same
report_clock_timing report_clock_timing Similar but not exactly same
report_clock_tree report_clock_qor Similar but not exactly same
report_clock_tree_optimization_options No equivalent command
report_clock_tree_power No equivalent command
report_concurrent_clock_and_data_strategy No equivalent command
report_congestion No equivalent command
report_congestion_options No equivalent command
report_constraint report_constraints Similar but not exactly same
report_critical_area No equivalent command
report_crpr report_crpr Similar but not exactly same
report_cts_batch_mode No equivalent command
report_delay_calculation report_delay_calculation Closest match
report_delay_calculation_options No equivalent command
report_delay_estimation_options report_extraction_options Similar but not exactly same
report_design report_design Closest match
report_design_lib No equivalent command
report_design_mismatch report_design_mismatch Similar but not exactly same
report_design_physical report_design Closest match
report_direct_power_rail_tie No equivalent command
report_disable_timing report_disable_timing Similar but not exactly same
report_displacement_analysis_options No equivalent command
report_distributed_hosts check_host_options Similar but not exactly same
report_distributed_route N/A No equivalent command
report_dont_touch report_dont_touch Similar but not exactly same
report_dont_touch_net No equivalent command
report_drc_error_type gui_report_errors Closest match
report_droute_options N/A No equivalent command
report_eco_history No equivalent command
report_eco_physical_changes No equivalent command
report_edit_groups report_edit_groups 1-1 mapping
IC Compiler IC Compiler II Category
report_em_options No equivalent command
report_error_coordinates gui_get_error_data Closest match
report_extraction_options report_extraction_options Similar but not exactly same
report_fast_mode No equivalent command
report_fast_pba_analysis_options No equivalent command
report_feasibility_options No equivalent command
report_filler_placement No equivalent command
report_fix_hold_options set_app_options Similar but not exactly same
report_flip_chip_bump_attributes No equivalent command
report_flip_chip_driver_bump No equivalent command
report_flip_chip_flyline_cross No equivalent command
report_flip_chip_options No equivalent command
report_floorplan_data write_floorplan Closest match
report_fp_clock_plan_options No equivalent command
report_fp_feedthroughs report_feedthroughs Similar but not exactly same
report_fp_macro_array No equivalent command
report_fp_macro_options report_macro_constraints Closest match
report_fp_pin_constraints report_block_pin_constraints Similar but not exactly same
report_fp_placement report_placement Closest match
report_fp_placement_strategy report_placement Closest match
report_fp_rail_constraints report_pg_strategies Closest match
report_fp_rail_extraction_options No equivalent command
report_fp_rail_strategy report_pg_strategies Closest match
report_fp_rail_voltage_area_constraints No equivalent command
report_fp_relative_location report_rp_groups Closest match
report_fp_shaping_strategy report_shaping_options Similar but not exactly same
report_fp_trace_mode_options No equivalent command
report_fp_voltage_area_constraints No equivalent command
report_fram_property report_frame_properties 1-1 mapping
report_groute_options N/A No equivalent command
report_hierarchy No equivalent command
report_host_options report_host_options Similar but not exactly same
report_ideal_network No equivalent command
report_ignored_layers report_ignored_layers 1-1 mapping
report_instance_based_routing_rule report_clock_settings Closest match
report_inter_clock_delay_options report_clock_balance_groups 1-1 mapping
report_interclock_relation No equivalent command
report_internal_loads No equivalent command
report_io_antenna_properties get_attribute Similar but not exactly same
report_isolate_ports report_isolate_ports 1-1 mapping
report_isolated_via N/A No equivalent command
report_isolation_cell report_power_domain Closest match
report_keepout_margin report_keepout_margins Similar but not exactly same
report_latch_loop_groups report_latch_loop_groups 1-1 mapping
report_latency_adjustment_options No equivalent command
report_left_right_filler_rule No equivalent command
report_level_shifter report_power_domain Closest match
report_lib report_lib Closest match
report_link_library_subset No equivalent command
report_matching_type report_matching_types Similar but not exactly same
report_metal_density No equivalent command
report_milkyway_version No equivalent command
report_mim report_mibs Similar but not exactly same
report_min_pulse_width No equivalent command
report_mode report_cell_modes Similar but not exactly same
report_mpc_macro_array No equivalent command
report_mpc_macro_options No equivalent command
report_mpc_options No equivalent command
report_mpc_pnet_options No equivalent command
IC Compiler IC Compiler II Category
report_mpc_port_options No equivalent command
report_mpc_rectilinear_outline No equivalent command
report_mpc_ring_options No equivalent command
report_mtcmos_pna_strategy set_pg_strategy Closest match
report_multisource_options To be implemented - No target yet
report_mw_lib report_ref_libs Closest match
report_name_rules No equivalent command
report_names No equivalent command
report_net report_nets Similar but not exactly same
report_net_changes No equivalent command
report_net_delta_delay To be implemented - No target yet
report_net_fanout No equivalent command
report_net_physical No equivalent command
report_net_routing_corridor get_routing_corridors Similar but not exactly same
[-of_objects of_objects]
report_net_routing_layer_constraints report_routing_rules Similar but not exactly same
report_net_routing_rules report_routing_rules Similar but not exactly same
report_net_search_pattern No equivalent command
report_net_search_pattern_delay_estimation_option No equivalent command
s
report_net_search_pattern_priority No equivalent command
report_noise To be implemented - No target yet
report_noise_calculation To be implemented - No target yet
report_ocvm report_ocvm Similar but not exactly same
report_on_demand_netlist No equivalent command
report_opcond_inference No equivalent command
report_operating_conditions report_lib Closest match
report_optimization_created_cells No equivalent command
report_optimize_dft_options No equivalent command
report_optimize_pre_cts_power_options No equivalent command
report_parameter N/A No equivalent command
report_path_group report_path_groups Similar but not exactly same
report_pg_net No equivalent command
report_physical_bus No equivalent command
report_physical_signoff_options get_app_option_value Closest match
report_pi_model No equivalent command
report_pin_guides report_pin_guides Similar but not exactly same
report_pin_name_synonym No equivalent command
report_pin_pad_physical_constraints report_block_pin_constraints Similar but not exactly same
report_pin_shape No equivalent command
report_place_opt_strategy report_app_options Similar but not exactly same
report_placement_utilization report_utilization Closest match
report_pnet_options No equivalent command
report_port report_ports Similar but not exactly same
report_port_protection_diodes report_port_protection_diodes Similar but not exactly same
report_power report_power Similar but not exactly same
report_power_calculation Similar but not exactly same
report_power_domain report_power_domains Similar but not exactly same
report_power_gating No equivalent command
report_power_guide No equivalent command
report_power_pin_info report_cell-power Closest match
report_power_plan_regions report_pg_regions Similar but not exactly same
report_power_plan_strategy report_pg_strategies Similar but not exactly same
report_power_ring_strategy report_pg_strategies Closest match
report_power_switch report_power_domain Closest match
report_preferred_routing_direction get_attribute Closest match
report_preroute_advanced_via_rule report_pg_via_master_rules Similar but not exactly same
report_preroute_drc_strategy No equivalent command
report_preroute_focal_opt_strategy report_app_options Similar but not exactly same
IC Compiler IC Compiler II Category
report_primetime_options No equivalent command
report_pst report_pst Similar but not exactly same
report_qor report_qor Similar but not exactly same
report_qor_snapshot No equivalent command
report_qtm_model write_blackbox_timing_script Closest match
report_rail_integrity_layout_check_strategy No equivalent command
report_rail_options No equivalent command
report_read_stream_options set_app_options Closest match
read_gds (in icc2_lm_shell)
report_reference report_references Similar but not exactly same
report_reference_cell_routing_rule No equivalent command
report_retention_cell report_power_domain Closest match
report_route_opt_strategy No equivalent command
report_route_opt_zrt_crosstalk_options report_app_options Similar but not exactly same
report_route_options N/A No equivalent command
report_route_rdl_options No equivalent command
report_route_zrt_common_options report_app_options Similar but not exactly same
report_route_zrt_detail_options report_app_options Similar but not exactly same
report_route_zrt_global_options report_app_options Similar but not exactly same
report_route_zrt_track_options report_app_options Similar but not exactly same
report_routing_corridors report_routing_corridors Similar but not exactly same
report_routing_rules report_routing_rules No equivalent command
report_rp_group_options report_attributes Similar but not exactly same
report_saif report_switching_activity Closest match
report_scaling_lib_group No equivalent command
report_scan_chain report_scan_chains 1-1 mapping
report_scenario_options report_scenarios Closest match
report_scenarios report_scenarios Closest match
report_separate_process_options No equivalent command
report_si_options To be implemented - No target yet
report_signal_em report_signal_em 1-1 mapping
report_signal_em_calculation No equivalent command
report_size_only report_size_only Similar but not exactly same
report_skew_group report_clock_skew_groups 1-1 mapping
report_spacing_rules report_placement_spacing_rules 1-1 mapping
report_split_clock_gates_options No equivalent command
report_starrcxt_options No equivalent command
report_supply_net report_supply_nets Similar but not exactly same
report_supply_port report_supply_ports Similar but not exactly same
report_target_library_subset No equivalent command
report_threshold_voltage_group report_threshold_voltage_groups Similar but not exactly same
report_tie_nets No equivalent command
report_tile_power No equivalent command
report_timing report_timing Similar but not exactly same
report_timing_derate report_timing_derate Similar but not exactly same
report_timing_histogram No equivalent command
report_timing_requirements report_exceptions Similar but not exactly same
report_tlu_plus_files report_lib -parasitic_tech; Similar but not exactly same
report_parasitic_parameters
report_top_implementation_options No equivalent command
report_total_power_strategy get_app_option_value Closest match
-name opt.power.mode
report_track report_tracks 1-1 mapping
report_transitive_fanin No equivalent command
report_transitive_fanout No equivalent command
report_unit_tiles report_attributes [get_site_def] Closest match
report_units report_user_units 1-1 mapping
report_user_budgeted_delays No equivalent command
report_via_master report_via_defs Similar but not exactly same
IC Compiler IC Compiler II Category
report_voltage_area report_voltage_areas Similar but not exactly same
report_voltage_area_routing_rule No equivalent command
report_vt_filler_rule No equivalent command
report_write_lib_mode No equivalent command
report_write_stream_options report_app_options / write_gds Closest match
report_xtalk_route_options report_app_options Similar but not exactly same
report_zrt_net_properties No equivalent command
report_zrt_shield report_shields 1-1 mapping
reset_cell_mode reset_cell_mode 1-1 mapping
reset_clock_tree_optimization_options No equivalent command
reset_clock_tree_options remove_clock_tree_options Similar but not exactly same
reset_clock_tree_references No equivalent command
reset_concurrent_clock_and_data_strategy No equivalent command
reset_cts_batch_mode No equivalent command
reset_design reset_design 1-1 mapping
reset_fast_pba_analysis_options No equivalent command
reset_fp_clock_plan_options No equivalent command
reset_instance_based_routing_rule No equivalent command
reset_inter_clock_delay_options remove_clock_balance_groups 1-1 mapping
reset_latency_adjustment_options No equivalent command
reset_mode reset_cell_mode 1-1 mapping
reset_path reset_paths 1-1 mapping
reset_reference_cell_routing_rule No equivalent command
reset_split_clock_gates_options No equivalent command
reset_switching_activity reset_switching_activity Similar but not exactly same
reset_timing_derate reset_timing_derate Similar but not exactly same
reset_upf reset_upf 1-1 mapping
resize_objects reshape_objects Similar but not exactly same
resize_polygon resize_polygons Similar but not exactly same
restore_design_settings No equivalent command
restore_spg_placement No equivalent command
return return 1-1 mapping
revert_cell_sizing revert_cell_sizing 1-1 mapping
rotate_objects rotate_objects Similar but not exactly same
route_area N/A No equivalent command
route_auto N/A No equivalent command
route_detail N/A No equivalent command
route_differential No equivalent command
route_eco N/A No equivalent command
route_flip_chip route_rdl_flip_chip Similar but not exactly same
route_fp_proto No equivalent command
route_global N/A No equivalent command
route_group N/A No equivalent command
route_htree No equivalent command
route_mesh_net No equivalent command
route_opt route_opt 1-1 mapping
route_rc_reduction No equivalent command
route_rdl_differential route_rdl_differential Similar but not exactly same
route_rdl_flip_chip route_rdl_flip_chip 1-1 mapping
route_search_repair No equivalent command
route_spreadwires N/A No equivalent command
route_track N/A No equivalent command
route_widen_wire N/A No equivalent command
route_zrt_auto route_auto 1-1 mapping
route_zrt_clock_tree route_group Similar but not exactly same
route_zrt_detail route_detail 1-1 mapping
route_zrt_eco route_eco 1-1 mapping
route_zrt_global route_global 1-1 mapping
route_zrt_group route_group 1-1 mapping
IC Compiler IC Compiler II Category
route_zrt_track route_track 1-1 mapping
rp_group_inclusions get_rp_group_objects Similar but not exactly same
rp_group_instantiations No equivalent command
rp_group_references get_rp_group_objects Similar but not exactly same
run_distributed_tasks run_block_script Similar but not exactly same
run_parallel_jobs No equivalent command
run_signoff No equivalent command
save_design_settings No equivalent command
save_mw_cel save_block Similar but not exactly same
save_qtm_model No equivalent command
save_upf save_upf 1-1 mapping
scan scan 1-1 mapping
seek seek 1-1 mapping
select_block_scenario set_block_to_top_map Similar but not exactly same
select_mim_master_instance No equivalent command
send_flow_status send_status Closest match
set set 1-1 mapping
set_active_scenarios set_scenario_status Closest match
set_ahfs_options set_app_options Closest match
set_always_on_cell No equivalent command
set_always_on_strategy No equivalent command
set_annotated_check No equivalent command
set_annotated_delay set_annotated_delay Similar but not exactly same
set_annotated_transition set_annotated_transition Similar but not exactly same
set_aocvm_coefficient set_aocvm_coefficient 1-1 mapping
set_app_var set_app_var 1-1 mapping
set_area_recovery_options set_app_options Similar but not exactly same
set_attribute set_attribute Similar but not exactly same
set_auto_disable_drc_nets No equivalent command
set_banking_guidance_strategy No equivalent command
set_budgeted_delay set_latency_budget_constraints Closest match
set_buffer_opt_strategy set_app_options Similar but not exactly same
set_case_analysis set_case_analysis 1-1 mapping
set_cell_degradation No equivalent command
set_cell_internal_power No equivalent command
set_cell_location set_cell_location 1-1 mapping
set_cell_mode set_cell_mode 1-1 mapping
set_cell_row_type No equivalent command
set_cell_type No equivalent command
set_cell_vt_type No equivalent command
set_check_library_options set_app_options Similar but not exactly same
set_checkpoint_strategy No equivalent command
set_child_terminal No equivalent command
set_cle_options No equivalent command
set_clock_cell_spacing set_clock_cell_spacing Similar but not exactly same
set_clock_gating_check set_clock_gating_check 1-1 mapping
set_clock_gating_registers No equivalent command
set_clock_groups set_clock_groups 1-1 mapping
set_clock_latency set_clock_latency Similar but not exactly same
set_clock_sense set_clock_sense 1-1 mapping
set_clock_transition set_clock_transition Similar but not exactly same
set_clock_tree_exceptions set_clock_balance_points Similar but not exactly same
set_dont_touch
set_size_only
set_clock_tree_exceptions Similar but not exactly same
-preserve_hierarchy set_freeze_ports –clock
set_clock_tree_optimization_options No equivalent command
IC Compiler IC Compiler II Category
set_clock_tree_options \ Similar but not exactly same
-max_trans \ set_max_transition
-max_cap set_max_capacitance
set_clock_tree_options \ Similar but not exactly same
-routing_rule set_clock_routing_rules
set_clock_tree_options \ Similar but not exactly same
-routing_rule set_clock_routing_rules
set_clock_tree_options \ set_clock_tree_options \ Similar but not exactly same
-target_skew \ -target_skew \
-target_early_delay -target_latency
set_clock_tree_references set_lib_cell_purpose Similar but not exactly same
set_clock_uncertainty set_clock_uncertainty Similar but not exactly same
set_combinational_type No equivalent command
set_command_option_value No equivalent command
set_concurrent_clock_and_data_strategy No equivalent command
set_congestion_option -max_util place.coarse.congestion_driven_max_util Similar but not exactly same
placer_max_cell_density_threshold place.coarse.max_density
set_congestion_options place.coarse.congestion_driven_max_util Similar but not exactly same

set_connection_class No equivalent command


set_context_margin No equivalent command
set_cost_priority To be implemented - No target yet
set_critical_range To be implemented - No target yet
set_cts_batch_mode No equivalent command
set_cts_scenario No equivalent command
set_current_command_mode set_current_command_mode 1-1 mapping
set_data_check set_data_check Similar but not exactly same
set_default_drive No equivalent command
set_default_driving_cell No equivalent command
set_default_fanout_load No equivalent command
set_default_input_delay No equivalent command
set_default_load No equivalent command
set_default_output_delay No equivalent command
set_delay_calculation_options No equivalent command
set_delay_estimation_options No equivalent command
set_design_attributes set_design_attributes Similar but not exactly same
set_die_area No equivalent command
set_direct_power_rail_tie No equivalent command
set_disable_clock_gating_check set_disable_clock_gating_check 1-1 mapping
set_disable_timing set_disable_timing Similar but not exactly same
set_displacement_analysis_options No equivalent command
set_distributed_route N/A No equivalent command
set_domain_supply_net set_domain_supply_net 1-1 mapping
set_dont_touch set_dont_touch 1-1 mapping
set_dont_touch_network set_dont_touch_network 1-1 mapping
set_dont_touch_placement set_placement_status Similar but not exactly same
set_dont_use set_lib_cell_purpose Closest match
set_dp_int_round No equivalent command
set_drive set_drive Similar but not exactly same
set_driving_cell set_driving_cell Similar but not exactly same
set_droute_options N/A No equivalent command
set_em_options No equivalent command
set_equal No equivalent command
set_error_view_property gui_set_error_browser_option Closest match
set_extraction_options set_extraction_options Closest match
set_false_path set_false_path 1-1 mapping
set_fanout_load set_fanout_load 1-1 mapping
set_fast_mode No equivalent command
set_fast_pba_analysis_options No equivalent command
IC Compiler IC Compiler II Category
set_fix_hold To be implemented - No target yet
set_fix_hold_options set_app_options Similar but not exactly same
set_fix_multiple_port_nets set_app_options Closest match
set_flip_chip_bump_attributes No equivalent command
set_flip_chip_cell_site No equivalent command
set_flip_chip_driver_array No equivalent command
set_flip_chip_driver_island No equivalent command
set_flip_chip_driver_ring No equivalent command
set_flip_chip_driver_strip No equivalent command
set_flip_chip_grid No equivalent command
set_flip_chip_options No equivalent command
set_fp_base_gate No equivalent command
set_fp_black_boxes_estimated create_blackbox Closest match
set_fp_black_boxes_unestimated No equivalent command
set_fp_block_ring_constraints set_pg_strategy Closest match
set_fp_clock_plan_options Clock Trunk Planning>Clock Trunk Planning; Closest match
set_clock_trunk_endpoints

set_fp_flow_strategy No equivalent command


set_fp_macro_array create_macro_array Closest match
set_fp_macro_options set_macro_constraints Similar but not exactly same
set_fp_pin_constraints set_block_pin_constraints Similar but not exactly same
set_fp_placement_strategy set_macro_constraints Similar but not exactly same
set_fp_power_pad_constraints set_power_io_constraints Similar but not exactly same
set_fp_rail_constraints set_power_analysis_strategy Similar but not exactly same
set_fp_rail_extraction_options No equivalent command
set_fp_rail_region_constraints set_pg_strategy Similar but not exactly same
set_fp_rail_strategy set_pg_strategy Similar but not exactly same
set_fp_rail_voltage_area_constraints set_pg_strategy; split_constraints Closest match
set_fp_relative_location set_macro_relative_location Similar but not exactly same
remove_macro_relative_location
set_fp_shaping_strategy set_shaping_options Similar but not exactly same
set_fp_strategy No equivalent command
set_fp_trace_mode No equivalent command
set_fp_voltage_area_constraints split_constraints Closest match
set_groute_options N/A No equivalent command
set_hierarchy_color View>Assistants>HierarchyExploration Similar but not exactly same
set_host_options set_host_options Similar but not exactly same
set_ideal_latency set_ideal_latency 1-1 mapping
set_ideal_net No equivalent command
set_ideal_network set_ideal_network Similar but not exactly same
set_ideal_transition set_ideal_transition 1-1 mapping
set_ignore_cell_timing No equivalent command
set_ignored_layers set_ignored_layers 1-1 mapping
set_ignored_layers set_ignored_layers Closest match
set_input_delay set_input_delay Similar but not exactly same
set_input_transition set_input_transition Similar but not exactly same
set_instance_based_routing_rule set_routing_rule Similar but not exactly same
set_inter_clock_delay_options set_clock_balance_groups 1-1 mapping
set_inter_clock_delay_options create_interclock_balance_group Closest match
set_inter_io_ring_spacing set_pg_strategy Similar but not exactly same
set_internal_object_color set_attribute $via cut_mask_constraint $color Similar but not exactly same

set_isolate_ports set_isolate_ports Similar but not exactly same


set_isolation set_isolation 1-1 mapping
set_isolation_cell map_isolation_cell 1-1 mapping
set_isolation_control set_isolation_control 1-1 mapping
set_keepout_margin create_keepout_margin Closest match
set_latch_loop_breakers set_latch_loop_breaker 1-1 mapping
IC Compiler IC Compiler II Category
set_latency_adjustment_options set_latency_adjustment_options Similar but not exactly same
set_leakage_optimization No equivalent command
set_left_right_filler_rule No equivalent command
set_level_shifter set_level_shifter 1-1 mapping
set_level_shifter_cell map_level_shifter_cell 1-1 mapping
set_level_shifter_strategy set_level_shifter 1-1 mapping
set_level_shifter_threshold set_level_shifter -threshold Similar but not exactly same
set_lib_attribute set_attribute 1-1 mapping
set_lib_cell_spacing_label set_placement_spacing_label Similar but not exactly same
set_libcell_subset No equivalent command
set_link_library_subset No equivalent command
set_load set_load Similar but not exactly same
set_local_link_library No equivalent command
set_logic_dc No equivalent command
set_logic_one No equivalent command
set_logic_zero No equivalent command
set_macro_cell_bound_spot set_macro_constraints Similar but not exactly same
set_matching_type No equivalent command
set_max_area No equivalent command
set_max_capacitance set_max_capacitance Similar but not exactly same
set_max_delay set_max_delay 1-1 mapping
set_max_dynamic_power No equivalent command
set_max_fanout set_max_fanout 1-1 mapping
set_max_leakage_power No equivalent command
set_max_net_length set_app_options Similar but not exactly same
set_max_time_borrow set_max_time_borrow Similar but not exactly same
set_max_transition set_max_transition Similar but not exactly same
set_mcmm_job_options No equivalent command
set_message_info set_message_info 1-1 mapping
set_min_capacitance set_min_capacitance Similar but not exactly same
set_min_delay set_min_delay 1-1 mapping
set_min_library No equivalent command
set_min_pulse_width No equivalent command
set_minimum_budgeted_delay set_latency_budget_constraints; Similar but not exactly same
compute_budget_constraints
set_mode set_cell_mode 1-1 mapping
set_mpc_macro_array No equivalent command
set_mpc_macro_options No equivalent command
set_mpc_options No equivalent command
set_mpc_pnet_options No equivalent command
set_mpc_port_options No equivalent command
set_mpc_rectilinear_outline No equivalent command
set_mpc_ring_options No equivalent command
set_mtcmos_pna_strategy No equivalent command
set_multi_vth_constraint set_max_lvth_percentage Similar but not exactly same
set_multicycle_path set_multicycle_path 1-1 mapping
set_multisource_options To be implemented - No target yet
set_mw_lib_reference set_ref_libs Similar but not exactly same
set_mw_technology_file read_tech_file Closest match
set_name set_attribute Similar but not exactly same
set_net_aggressors To be implemented - No target yet
set_net_routing_corridor add_to_routing_corridor Similar but not exactly same
set_net_routing_layer_constraints set_routing_rule Similar but not exactly same
set_net_routing_rule set_routing_rule Similar but not exactly same
set_attribute "net" physical_status
set_net_search_pattern_delay_estimation_options No equivalent command

set_net_search_pattern_priority No equivalent command


set_noise_immunity_curve To be implemented - No target yet
IC Compiler IC Compiler II Category
set_noise_lib_pin To be implemented - No target yet
set_noise_margin To be implemented - No target yet
set_object_boundary set_boundary Closest match
set_object_fixed_edit set_fixed_objects Similar but not exactly same
set_object_shape set_object_shape Similar but not exactly same
set_object_snap_type set_snap_setting Similar but not exactly same
set_opcond_inference No equivalent command
set_operating_conditions set_operating_conditions 1-1 mapping
set_opposite To be implemented - No target yet
set_optimization_strategy set_app_options Similar but not exactly same
set_optimize_dft_options set_app_options Similar but not exactly same
set_optimize_pre_cts_power_options No equivalent command
set_output_clock_port_type No equivalent command
set_output_delay set_output_delay Similar but not exactly same
set_pad_physical_constraints set_signal_io_constraints Similar but not exactly same
set_parameter N/A No equivalent command
set_partial_on_translation set_partial_on_translation 1-1 mapping
set_partition_data No equivalent command
set_path_margin set_path_margin Similar but not exactly same
set_pg_pin_model No equivalent command
set_physical_signoff_options set_app_options Closest match
set_physopt_cpulimit_options No equivalent command
set_pi_model No equivalent command
set_pin_model No equivalent command
set_pin_name_synonym No equivalent command
set_pin_physical_constraints set_individual_pin_constraints Similar but not exactly same
set_place_opt_cts_strategy No equivalent command
set_place_opt_strategy set_app_options Similar but not exactly same
set_pnet_options No equivalent command
set_port_attributes set_port_attributes 1-1 mapping
set_port_fanout_number No equivalent command
set_port_location No equivalent command
set_power_guide create_voltage_area Closest match
set_power_net_to_voltage_area No equivalent command
set_power_plan_strategy set_pg_strategy Similar but not exactly same
set_power_ring_strategy set_pg_strategy Closest match
set_power_switch_cell map_power_switch 1-1 mapping
set_power_switch_place_pattern_strategy set_power_switch_place_pattern Similar but not exactly same
set_prefer set_lib_cell_purpose Similar but not exactly same
set_preferred_routing_direction set_attribute Similar but not exactly same
set_preroute_advanced_via_rule set_pg_via_master_rule Closest match
set_preroute_drc_strategy No equivalent command
set_preroute_focal_opt_strategy set_app_options Similar but not exactly same
set_preroute_special_rules No equivalent command
set_preserve_clock_gate No equivalent command
set_primetime_options No equivalent command
set_propagated_clock set_propagated_clock 1-1 mapping
set_pulse_clock_cell No equivalent command
set_qtm_global_parameter create_blackbox_constraint Similar but not exactly same
set_qtm_port_drive create_blackbox_drive_type Similar but not exactly same
set_qtm_port_load create_blackbox_load_type Similar but not exactly same
set_qtm_technology No equivalent command
set_rail_integrity_layout_check_strategy No equivalent command
set_rail_options No equivalent command
set_read_stream_options set_app_options Closest match
read_gds (in icc2_lm_shell)
set_reference_cell_routing_rule No equivalent command
set_register_type
set_related_supply_net set_related_supply_net Similar but not exactly same
IC Compiler IC Compiler II Category
set_resistance No equivalent command
set_retention No equivalent command
set_retention_cell map_retention_cell 1-1 mapping
set_retention_control No equivalent command
set_retention_control set_retention_control 1-1 mapping
set_route_flip_chip_options No equivalent command
set_route_mode_options N/A No equivalent command
set_route_opt_strategy No equivalent command
set_route_opt_zrt_crosstalk_options No equivalent command
set_route_option (no need; covered by define_user_attribute) No equivalent command
-enable_user_enter_sub_route_type
set_route_rdl_options No equivalent command
set_route_type N/A No equivalent command
set_route_zrt_common_options set_app_options Closest match
set_route_zrt_detail_options set_app_options Closest match
set_route_zrt_global_options set_app_options Closest match
set_route_zrt_track_options set_app_options Closest match
set_row_type No equivalent command
set_rp_group_options set_rp_group_options Closest match
set_scaling_lib_group No equivalent command
set_scenario_options No equivalent command
set_scope set_scope 1-1 mapping
set_separate_process_options No equivalent command
set_si_options set_app_options -name time.enable_si Similar but not exactly same
set_size_only set_size_only 1-1 mapping
set_skew_group create_clock_skew_group Similar but not exactly same
set_spacing_label_rule set_placement_spacing_rule Similar but not exactly same
set_split_clock_gates_options To be implemented - No target yet
set_starrcxt_options No equivalent command
set_steady_state_resistance No equivalent command
set_stream_layer_map_file No equivalent command
set_switching_activity set_switching_activity Closest match
set_synlib_dont_get_license No equivalent command
set_target_library_subset To be implemented - No target yet
set_timing_derate set_timing_derate Similar but not exactly same
set_timing_ranges No equivalent command
set_tlu_plus_files read_parasitic_tech; set_parasitic_parameters Closest match

set_top_implementation_options set_hier_optimization_options Similar but not exactly same


set_total_power_strategy set_app_options Closest match
-name opt.power.mode -value total
set_true_delay_case_analysis No equivalent command
set_unconnected No equivalent command
set_undoable_attribute set_attribute Similar but not exactly same
set_ungroup ungroup_cells Closest match
set_unix_variable set_unix_variable 1-1 mapping
set_user_grid set_grid Closest match
set_utilization No equivalent command
set_via_array_size set_attribute via_array Similar but not exactly same
number_of_columns/number_of_rows
set_voltage set_voltage Similar but not exactly same
set_voltage_model No equivalent command
set_vt_filler_rule No equivalent command
set_write_stream_options set_app_options / write_gds Closest match
set_xtalk_route_options No equivalent command
set_zero_interconnect_delay_mode No equivalent command
set_zrt_net_properties No equivalent command
setenv setenv 1-1 mapping
sh sh 1-1 mapping
IC Compiler IC Compiler II Category
sh_list_key_bindings No equivalent command
shape_fp_blocks shape_blocks Similar but not exactly same
shell_is_in_upf_mode No equivalent command
signoff_autofix_drc signoff_fix_drc 1-1 mapping
signoff_calculate_hier_antenna_property signoff_calculate_hier_antenna_property 1-1 mapping
signoff_drc signoff_check_drc 1-1 mapping
signoff_metal_fill signoff_create_metal_fill 1-1 mapping
signoff_opt No equivalent command
size_cell size_cell Closest match
sizeof_collection sizeof_collection 1-1 mapping
skew_opt No equivalent command
slot_wire No equivalent command
snap_objects snap_objects Similar but not exactly same
socket socket 1-1 mapping
sort_collection sort_collection 1-1 mapping
sort_fp_pins No equivalent command
source source 1-1 mapping
split split 1-1 mapping
split_clock_gates No equivalent command
split_clock_net split_clock_cells Similar but not exactly same
split_multisource_clock To be implemented - No target yet
split_mw_lib No equivalent command
split_net No equivalent command
split_objects split_objects Similar but not exactly same
split_rdl_route split_rdl_routes 1-1 mapping
split_register_bank split_multibit 1-1 mapping
split_zrt_net No equivalent command
spread_spare_cells spread_spare_cells Closest match
spread_zrt_wires Will be available after K-2015.06 To be implemented - No target yet
start_gui start_gui 1-1 mapping
stop_gui stop_gui 1-1 mapping
stretch_wire Edit>Stretch Similar but not exactly same
string string 1-1 mapping
sub_instances_of No equivalent command
subst subst 1-1 mapping
suppress_message suppress_message 1-1 mapping
swap_cell_locations No equivalent command
switch switch 1-1 mapping
synthesize_fp_rail No equivalent command
synthesize_fp_rings No equivalent command
tell tell 1-1 mapping
time time 1-1 mapping
trace trace 1-1 mapping
transform_coordinates No equivalent command
trim_fill_eco No equivalent command
unalias unalias 1-1 mapping
uncommit_fp_soft_macros uncommit_block Similar but not exactly same
uncompress_scenarios No equivalent command
undefine_bus No equivalent command
undo undo Similar but not exactly same
undo_config Similar but not exactly same
set_app_options -name shell.undo.enabled -
value false
set_app_options -name shell.undo.max_levels -
value 100
set_app_options -name
shell.undo.max_memory -value 1000000000
IC Compiler IC Compiler II Category
undo_mark create_undo_marker Similar but not exactly same
ungroup ungroup_cells Similar but not exactly same
uniquify uniquify Similar but not exactly same
uniquify_fp_mw_cel uniquify Similar but not exactly same
unload No equivalent command
unset unset 1-1 mapping
unset_hierarchy_color remove_colors Similar but not exactly same
unset_power_guide remove_voltage_areas Closest match
unsetenv unsetenv 1-1 mapping
unsuppress_message unsuppress_message 1-1 mapping
update update 1-1 mapping
update_bounds remove_from_bound / add_to_bound Similar but not exactly same
update_clock_latency compute_clock_latency 1-1 mapping
update_flip_chip_pin_locations No equivalent command
update_lib No equivalent command
update_lib_model No equivalent command
update_lib_pg_pin_model No equivalent command
update_lib_pin_model No equivalent command
update_lib_voltage_model No equivalent command
update_physical_bus No equivalent command
update_power_plan_region No equivalent command
update_routing_corridor remove_from_routing_corridor / Similar but not exactly same
add_to_routing_corridor
update_timing update_timing 1-1 mapping
update_voltage_area set_voltage_area Similar but not exactly same
upf_version upf_version 1-1 mapping
uplevel uplevel 1-1 mapping
upvar upvar 1-1 mapping
variable variable 1-1 mapping
verify_lvs check_lvs 1-1 mapping
verify_pg_nets verify_pg_nets Similar but not exactly same
verify_rail_integrity No equivalent command
verify_route N/A No equivalent command
verify_zrt_route check_routes 1-1 mapping
virtual_ipo estimate_timing Similar but not exactly same
vwait vwait 1-1 mapping
which which 1-1 mapping
while while 1-1 mapping
widen_zrt_wires Will be available after K-2015.06 To be implemented - No target yet
win_select_objects win_select_objects Similar but not exactly same
win_set_filter win_set_filter Similar but not exactly same
win_set_select_class win_set_select_class Similar but not exactly same
window_stretch Edit>Stretch Closest match
write No equivalent command
write_aif write_aif 1-1 mapping
write_app_var write_app_var 1-1 mapping
write_cell_expansion No equivalent command
write_def write_def Similar but not exactly same
write_design_lib_paths No equivalent command
write_design_settings No equivalent command
write_environment No equivalent command
write_flip_chip_nets No equivalent command
write_floorplan write_floorplan Similar but not exactly same
write_lib No equivalent command
write_lib_specification_model No equivalent command
write_link_library No equivalent command
write_mw_lib_files write_tech_file Closest match
write_parasitics write_parasitics Similar but not exactly same
write_physical_constraints No equivalent command
IC Compiler IC Compiler II Category
write_physical_script No equivalent command
write_pin_pad_physical_constraints write_pin_constraints Similar but not exactly same
write_plib No equivalent command
write_power_plan_regions No equivalent command
write_rail_integrity_strategy No equivalent command
write_rail_options No equivalent command
write_route Will be available after K-2015.06 To be implemented - No target yet
write_rp_groups write_rp_groups Similar but not exactly same
write_script write_script Similar but not exactly same
write_sdc write_sdc Similar but not exactly same
write_sdf No equivalent command
write_stream write_gds Similar but not exactly same
write_verilog write_verilog Similar but not exactly same
write_via_region To be implemented - No target yet

You might also like

pFad - Phonifier reborn

Pfad - The Proxy pFad of © 2024 Garber Painting. All rights reserved.

Note: This service is not intended for secure transactions such as banking, social media, email, or purchasing. Use at your own risk. We assume no liability whatsoever for broken pages.


Alternative Proxies:

Alternative Proxy

pFad Proxy

pFad v3 Proxy

pFad v4 Proxy