0% found this document useful (0 votes)
56 views233 pages

Daniel E - Field Thesis

Copyright
© © All Rights Reserved
We take content rights seriously. If you suspect this is your content, claim it here.
Available Formats
Download as PDF, TXT or read online on Scribd
0% found this document useful (0 votes)
56 views233 pages

Daniel E - Field Thesis

Copyright
© © All Rights Reserved
We take content rights seriously. If you suspect this is your content, claim it here.
Available Formats
Download as PDF, TXT or read online on Scribd
You are on page 1/ 233

This electronic thesis or dissertation has been

downloaded from Explore Bristol Research,


http://research-information.bristol.ac.uk

Author:
Field, Daniel E
Title:
Heterogenous integration of Heatsinks with electronic devices

General rights
Access to the thesis is subject to the Creative Commons Attribution - NonCommercial-No Derivatives 4.0 International Public License. A
copy of this may be found at https://creativecommons.org/licenses/by-nc-nd/4.0/legalcode This license sets out your rights and the
restrictions that apply to your access to the thesis so it is important you read this before proceeding.
Take down policy
Some pages of this thesis may have been removed for copyright restrictions prior to having it been deposited in Explore Bristol Research.
However, if you have discovered material within the thesis that you consider to be unlawful e.g. breaches of copyright (either yours or that of
a third party) or any other law, including but not limited to those relating to patent, trademark, confidentiality, data protection, obscenity,
defamation, libel, then please contact collections-metadata@bristol.ac.uk and include the following information in your message:

•Your contact details


•Bibliographic details for the item, including a URL
•An outline nature of the complaint

Your claim will be investigated and, where appropriate, the item in question will be removed from public view as soon as possible.
Heterogeneous integration of heatsinks
with electronic devices
Investigations of the thermal and mechanical properties of the interface

By

D ANIEL E. F IELD

School of Physics
U NIVERSITY OF B RISTOL

A dissertation submitted to the University of Bristol in ac-


cordance with the requirements of the degree of D OCTOR OF
P HILOSOPHY in the Faculty of Science.

S CHOOL OF P HYSICS F EBRUARY 2022

Word count: 57319


A BSTRACT

mproved thermal management of power electronics is vital for improved device reliability and

I performance. Devices used for applications in next-generation mobile communications and


internet of things, such as high-power high-frequency power amplifiers, and propulsion of
electric vehicles and space missions, such as power switches, must handle high power dissipation
with a large degree of Joule self-heating. To enable further development in these fields, improved
thermal management is a necessity. Near channel, heterogeneously integrated heatsinks and
spreaders are one aspect of the technology required to meet this challenge. In this thesis, the
mechanical and thermal properties of the semiconductor-heatsink interface have been studied.
This interface is key for determining the reliability of devices and accessing the heatsink’s benefits.
Various methods of integrating AlGaN/GaN high electron mobility transistors with diamond have
been investigated. In addition, the thermal properties of Si-on-SiC have been studied, aiming to
understand the thermal benefit of this material over silicon-on-insulator.
An improved analysis method has been developed to investigate the mechanical stability
of heterogeneously integrated thin films on stiff substrates, demonstrated in GaN-on-diamond.
This method has increased reliability and accuracy compared to previous analyses. In addition
to mechanical investigations, the thermal properties of novel GaN-on-diamond materials have
been studied. The use of crystalline Al0.32 Ga0.68 N and SiC interlayers have been demonstrated,
showing good promise for SiC layers with comparable effective thermal boundary resistance
(TBReff ) to state-of-the-art GaN-on-diamond using SiNx (30±5 m2 K GW-1 ). A multi-step diamond
growth procedure has also been investigated and found to give record low TBReff of < 5 m2 K
GW-1 .
Finally, thermal characterisation of the heterointerface was undertaken on direct-bonded
Si-on-SiC. The interface of this material exhibited excellent thermal properties with TBReff < 10
m2 K GW-1 . Simulations suggest this material could offer significant thermal improvements over
conventional silicon-on-insulator for power converters.

i
D EDICATION AND A CKNOWLEDGEMENTS

irst I would like to thank my family and Holly for their support throughout my PhD. Their

F love and support helped me to overcome the struggles during the beginning of this journey
when I was unsure if I had made the correct decision in choosing a project outside my
comfort zone. In the end, this has been a fulfilling journey both scientifically and personally but
I could not have done it without you. Holly especially gets my thanks for putting up with my
incessant worrying about my thesis ‘timeline’ over the last few years and particularly in the last
few months. Spending time with you has made Covid-19 bearable. I would not be on this journey
without my mum who has instilled a love of science in me from an early age and supported me
throughout my life and education. You too got a fair share of the angst and always made me
realise it would be okay. You have always believed in me and I can’t thank you enough. In reality,
none of you made it through without hearing some of the worry. Dad, our phone calls have often
helped diffuse my worries and made me laugh at the ridiculousness of it all. Matt and Heather,
thank you for bringing Emma into my life whose little face has been brightening up my weekends
for the last year. I love you all very much.
I must also thank all my colleagues and friends. Without Dr James Pomeroy, Dr Roland
Baranyai-Simon, and Dr Dong Liu, without who I am not sure I would have achieved anything!
Your help was invaluable. I would also like to thank everyone who has helped in providing
samples for me to study and who has provided supporting analysis in this thesis particularly
Dr Jerome Cuenca, Dr Simon Fairclough, Dr Matt Smith, and Dr Mohamadali Malakoutian. To
everyone in the PhD office, thank you for providing fruitful ‘discussions’ on Friday evenings, they
were definitely a highlight of the last few years. The supervision provided by Prof. Martin Kuball
has been an enormous help over the last three and a half years. The lessons you have taught
me how to prioritise my work and carry out research will stick with me for the rest of my career.
Finally, I’d like to thank Element Six and the EPSRC centre for doctoral training in diamond
science and technology for financial support.

iii
A UTHOR ’ S DECLARATION

declare that the work in this dissertation was carried out in accordance with the

I requirements of the University’s Regulations and Code of Practice for Research


Degree Programmes and that it has not been submitted for any other academic
award. Except where indicated by specific reference in the text, the work is the
candidate’s own work. Work done in collaboration with, or with the assistance of,
others, is indicated as such. Any views expressed in the dissertation are those of the
author.

SIGNED: .................................................... DATE: ..........................................

v
TABLE OF C ONTENTS

Page

List of Tables xi

List of Figures xiii

1 Introduction 1
1.1 Thesis Outline . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6

2 Heat and Phonon Theory 9


2.1 Phonon Theory . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10
2.1.1 Phonon Heat Capacity and the Debye Model . . . . . . . . . . . . . . . . . . 13
2.2 Thermal Conductivity . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15
2.2.1 Heat Flow in Semiconductors . . . . . . . . . . . . . . . . . . . . . . . . . . . 17
2.2.2 Thermal Conductivity of Metals . . . . . . . . . . . . . . . . . . . . . . . . . . 19
2.2.3 Thermal Conductivity of Amorphous Materials . . . . . . . . . . . . . . . . . 21
2.2.4 Interfacial Thermal Resistance . . . . . . . . . . . . . . . . . . . . . . . . . . 23

3 Materials and Devices 27


3.1 The Material and Device Properties of GaN . . . . . . . . . . . . . . . . . . . . . . . 27
3.1.1 Operating principles of a GaN HEMT . . . . . . . . . . . . . . . . . . . . . . 32
3.1.2 GaN Growth Strategies . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 36
3.2 Diamond . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 44
3.2.1 Diamond Growth Strategies . . . . . . . . . . . . . . . . . . . . . . . . . . . . 46
3.2.2 Diamond For Heat Spreading and Sinking . . . . . . . . . . . . . . . . . . . 51
3.3 Integration of Diamond and GaN . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 54
3.4 Silicon Carbide . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 66
3.4.1 SiC Growth . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 69
3.5 Silicon-on-insulator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 72
3.5.1 SOI Devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 75

4 Experimental and Theoretical Methods 77


4.1 Optical Spectroscopy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 77

vii
TABLE OF CONTENTS

4.1.1 Raman Spectroscopy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 79


4.1.2 Photoluminescence Spectroscopy . . . . . . . . . . . . . . . . . . . . . . . . . 82
4.2 Transient Thermoreflectance . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 82
4.3 Nanoindentation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 91
4.4 Topographical Mapping . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 95
4.4.1 Atomic Force Microscopy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 95
4.4.2 White Light Interferometry . . . . . . . . . . . . . . . . . . . . . . . . . . . . 97
4.5 Microstructural and chemical analysis . . . . . . . . . . . . . . . . . . . . . . . . . . 99
4.5.1 Scanning Electron Microscopy . . . . . . . . . . . . . . . . . . . . . . . . . . . 100
4.5.2 Transmission Electron Microscopy . . . . . . . . . . . . . . . . . . . . . . . . 101
4.5.3 Focused Ion Beam Milling . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 104
4.5.4 Electron Energy Loss Spectroscopy . . . . . . . . . . . . . . . . . . . . . . . . 104
4.5.5 Energy Dispersive X-Ray Spectroscopy . . . . . . . . . . . . . . . . . . . . . . 105
4.6 Finite Element Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 108

5 Improved evaluation of interfacial toughness of thin brittle films 111


5.1 Theoretical Background . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 111
5.2 Materials, and Experimental and Analytical Methods . . . . . . . . . . . . . . . . . 118
5.2.1 Experimental Methods . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 118
5.2.2 Analytical Methods . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 120
5.3 Results and Discussion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 123
5.3.1 Validation of White Light Interferometry . . . . . . . . . . . . . . . . . . . . 123
5.3.2 Comparison of 3-D Fitting to Line Scan Analysis . . . . . . . . . . . . . . . . 124
5.3.3 Correlation of Interfacial Toughness with Residual Stress . . . . . . . . . . 128
5.4 Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 130

6 Crystalline Interlayers for Integration of GaN-on-diamond 133


6.1 Experimental and Theoretical Methods . . . . . . . . . . . . . . . . . . . . . . . . . . 135
6.2 Results and Discussion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 140
6.3 Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 146

7 Overgrown Diamond Heatspreaders on N-polar GaN 149


7.1 Experimental Methods and Materials . . . . . . . . . . . . . . . . . . . . . . . . . . . 152
7.2 Results . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 155
7.3 Discussion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 159
7.4 Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 162

8 Thermal Characterisation of wafer bonded Si-on-SiC 165


8.1 Materials and Methods . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 170
8.2 Results and Discussion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 174

viii
TABLE OF CONTENTS

9 Summary 179
9.1 Future Work . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 182

A Appendix A 185
A.1 List of Publications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 185
A.2 List of Presentations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 186

Bibliography 189

ix
L IST OF TABLES

TABLE Page

3.1 Bulk properties of selected semiconductors used or proposed for use in power devices
at room temperature. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28
3.2 Typical dislocation density of GaN grown on different substrates with varying degrees
of lattice mismatch . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 42

5.1 Details of GaN-on-diamond samples measured from FIB cross-sections. All samples
have an approximately 50 nm thick SiNx protective layer on top of the GaN. Thick-
nesses are mean values from multiple measurements across FIB cross-sections and
error is one standard deviation. SiNx adhesion layer thickness from in situ measure-
ments during deposition. Residual stress values calculated from PL measurements of
GaN layer. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 119

6.1 Thermal and material properties used as fixed variables for transient thermore-
flectance fitting. All properties were assumed to be temperature independent in the
small < 10 K temperature rise induced by the measurement. . . . . . . . . . . . . . . . 139
6.2 Thermal and material properties used for calculations of TBRinterlayer . . . . . . . . . . 139
6.3 Mean values of fitted parameters from TTR traces. . . . . . . . . . . . . . . . . . . . . . 145

7.1 Details of the structure and layer thicknesses of the diamond-on-N-polar-GaN and
control samples. Alx Ga1-x N thickness is the sum of multiple thin Alx Ga1-x N layers
and the x value quoted is a weighted average. Substrates are all > 400 µm thick. . . . 153
7.2 Thermal and material properties used as fixed variables for and extracted from
transient thermoreflectance fitting. All properties were assumed to be temperature
independent in the small < 10 K temperature rise induced by the measurement. . . . 155

8.1 Typical interfacial materials used for SOI and Si-on-SiC with their typical thicknesses,
expected thermal conductivity and resulting thermal resistance. . . . . . . . . . . . . . 168
8.2 Fixed parameters used for transient thermoreflectance fitting. All parameters are
assumed to be temperature independent in the small temperature rise induced by
measurement of < 10 K. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 173

xi
LIST OF TABLES

8.3 Thermal properties and thermal boundary resistances extracted using TTR. Error
bars are the 10th and 90th percentiles of the distributions fitted to the results of the
Monte Carlo analysis. All properties are assumed to be temperature independent as
the temperature rise is < 10 K. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 175

xii
L IST OF F IGURES

F IGURE Page

1.1 Estimated mean time to failure for a GaN high electron mobility transistor as a
function of peak channel temperature . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3

2.1 1-D chain of atoms used to derive the origin of phonons . . . . . . . . . . . . . . . . . . 10


2.2 Simple 1-D dispersion relation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12
2.3 Schematic diagram of the importance of particle mean free path on the thermal
conductivity of an ideal gas of thermal carriers . . . . . . . . . . . . . . . . . . . . . . . 16
2.4 Normal and Umklapp phonon scattering . . . . . . . . . . . . . . . . . . . . . . . . . . . 18
2.5 The temperature dependence of phonon thermal conductivity of a Sb2 Te3 film using
the Debye model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20
2.6 The temperature dependence of the thermal conductivity of bulk copper, silver, and
aluminium . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21
2.7 The temperature dependence of the thermal conductivity of an amorphous Si film . . 22

3.1 Crystal structure of GaN . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29


3.2 The first Brillouin zone and electronic band structure of GaN . . . . . . . . . . . . . . . 30
3.3 Coefficient of thermal expansion of selected materials . . . . . . . . . . . . . . . . . . . 31
3.4 Phonon dispersion relation and density of states for GaN . . . . . . . . . . . . . . . . . 32
3.5 Dependence of GaN room temeprature thermal conductivity on dislocation density . . 33
3.6 Schematics of an idealised Ga-polar AlGaN/GaN heterostructure with surface donors
states on the AlGaN . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34
3.7 Euilibrium band diagrams of Ga-polar and N-polar AlGaN/GaN heterostructures . . 35
3.8 Schematic diagram of standard epitaxy and 2DEG location for Ga- and N-polar
GaN/AlGaN HEMTs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 36
3.9 Simulation results of the heating profile in a GaN-on-SiC HEMT . . . . . . . . . . . . 36
3.10 Schematics of edge and screw dislocations . . . . . . . . . . . . . . . . . . . . . . . . . . 38
3.11 Schematics of MOCVD reactors for III-N growth . . . . . . . . . . . . . . . . . . . . . . 39
3.12 Variation in surface morphology of N-polar GaN on sapphire . . . . . . . . . . . . . . . 41
3.13 Diamond unit cell and the first Brillouin zone . . . . . . . . . . . . . . . . . . . . . . . . 44
3.14 Diamond phonon dispersion relation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 45

xiii
LIST OF FIGURES

3.15 Carbon phase diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 46


3.16 Bachmann triangle diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 47
3.17 Mechanism of chemical vapour deposition of diamond . . . . . . . . . . . . . . . . . . . 48
3.18 Reactor types used for chemical vapour deposition of diamond . . . . . . . . . . . . . . 49
3.19 The columnar structure of a polycrystalline diamond film . . . . . . . . . . . . . . . . . 51
3.20 Reported and modelled thermal conductivity of polycrystalline diamond films . . . . . 53
3.21 Different strategies of integrating GaN on Diamond . . . . . . . . . . . . . . . . . . . . 55
3.22 Schematic of potential bow induced in GaN-on-diamond as a result of thermal expan-
sion mismatch . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 56
3.23 Schematic of the origin of the effective thermal boundary resistance in GaN-on-
diamond and measurements indicating its importance for thermal management . . . 56
3.24 The morphology of polycrystalline GaN films grown on single crystal diamond . . . . 57
3.25 The importance of diamond crystal orientation on the surface morphology of GaN
grown on single crystal diamond . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 59
3.26 Schematic of heat spreaders versus heatsinks . . . . . . . . . . . . . . . . . . . . . . . . 61
3.27 Thermal boundary resistance of Van der Waals versus covalent interfaces . . . . . . . 62
3.28 Schematic of how mixed seeding of diamond could help reduce the effective thermal
boundary resistance . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 64
3.29 Illustration of the temperature gradient across different layers in GaN-on-diamond
devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 65
3.30 Schematic of surface activated bonding . . . . . . . . . . . . . . . . . . . . . . . . . . . . 67
3.31 The stacking position of atom planes which result in different SiC polytypes . . . . . . 68
3.32 4H- and 6H- SiC unit cells . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 69
3.33 4H- and 6H- SiC phonon dispersion relations . . . . . . . . . . . . . . . . . . . . . . . . . 70
3.34 Physical vapour transport growth of SiC . . . . . . . . . . . . . . . . . . . . . . . . . . . 70
3.35 Empirical observations for production of specific SiC polytypes by PVT . . . . . . . . . 72
3.36 Schematic of the SIMOC process for producing SOI and the importance of annealing 73
3.37 Schematic of SmartCutTM Process Flow . . . . . . . . . . . . . . . . . . . . . . . . . . . . 74
3.38 Schematic of SOI device . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 75
3.39 Schematic of SOI LDMOSFET . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 76

4.1 Layout of a Renishaw InVia spectrometer . . . . . . . . . . . . . . . . . . . . . . . . . . . 78


4.2 Energy level diagram of light scattering by matter . . . . . . . . . . . . . . . . . . . . . 81
4.3 The rate of change of reflectivity with respect to temperature of some common metals
used as transducers in thermoreflectance experiments at a range of wavelengths. . . 84
4.4 Changes in sensitivity to in- and cross-plane thermal conductivity by varying pump
spot size. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 85
4.5 Conventional time-domain thermoreflectance setup . . . . . . . . . . . . . . . . . . . . . 86

xiv
LIST OF FIGURES

4.6 Schematic of how a conventional pulsed pump, pulsed probe TDTR setup interrogates
surface temperature change . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 87
4.7 Schematic of the transient thermoreflectance setup . . . . . . . . . . . . . . . . . . . . . 89
4.8 Schematic of the process of nanoindentation and a resulting load-displacement curve 91
4.9 Schematic of the electrostatic control of a Hysitron TI Premier nanoindenter . . . . . 92
4.10 Three common nanoindentation tip geometries . . . . . . . . . . . . . . . . . . . . . . . 94
4.11 The Hysitron TI Premier Nanoindenter . . . . . . . . . . . . . . . . . . . . . . . . . . . . 94
4.12 Schematic of the relationship between AFM tip deflection and tip to surface distance 96
4.13 Schematic of a quadrant photodiode detector for AFM . . . . . . . . . . . . . . . . . . . 97
4.14 Bruker Dimension Edge AFM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 98
4.15 Schematic of a white light interferometry microscope and the detected signal . . . . . 99
4.16 Schematic of the column of a scanning electron microscope . . . . . . . . . . . . . . . . 100
4.17 Schematic demonstrating how depth of focus is affected by angle of convergence . . . 102
4.18 Schematic of the column of a conventional transmission electron microscope . . . . . . 103
4.19 Simple schematic of an electron energy loss spectrometer . . . . . . . . . . . . . . . . . 105
4.20 Example electron energy loss spectra of diamond . . . . . . . . . . . . . . . . . . . . . . 106
4.21 Schematic of the interaction volume of an incident electron beam in SEM . . . . . . . 107
4.22 Example of the finite element analysis for a 1-D function . . . . . . . . . . . . . . . . . 109

5.1 Schematic diagram illustrating the thermodynamic work of adhesion . . . . . . . . . . 112


5.2 Schematic diagram illustrating the different modes of loading in fracture mechanics . 113
5.3 Hutchinson Suo 1-D Blister . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 114
5.4 Marshal and Evans circular blister formation . . . . . . . . . . . . . . . . . . . . . . . . 117
5.5 A 3-D plot illustrating the difference between the 3-D fitting and line-scan analysis of
a blister . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 118
5.6 Schematics of the wafer flipping process used for diamond growth . . . . . . . . . . . . 119
5.7 Blister schematic and AFM and WLI images of a real blister . . . . . . . . . . . . . . . 122
5.8 Focused ion beam cross-sections of the GaN-on-diamond samples . . . . . . . . . . . . 123
5.9 Comparison and validation of white light interferometry as a blister imaging method 124
5.10 Comparison of G Ic values when using 3-D fitting or line-scan analysis . . . . . . . . . 125
5.11 Box and whisker plot of intefacial toughness calculated with 3-D fits and line scan
analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 126
5.12 SEM images of regions of delaminated GaN on diamond and comparison of blister
height against blisters radius . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 127
5.13 Stress change through the GaN layer compared to interfacial toughness . . . . . . . . 129
5.14 Transmission electron micrographs of the dislocation distribution within GaN-on-Si . 130

6.1 Etching of GaN by diamond growth conditions . . . . . . . . . . . . . . . . . . . . . . . . 135


6.2 STEM and EDS analysis of the whole GaN-on-Si starting wafer . . . . . . . . . . . . . 136

xv
LIST OF FIGURES

6.3 Schematics of the two samples prepared with crystalline interlayers . . . . . . . . . . 138
6.4 STEM and EDS analysis of the initial GaN-on-Si wafer around the buried interlayer 140
6.5 STEM and EDS analysis of sample 1 following etching and diamond growth . . . . . . 141
6.6 STEM and EDS analysis of sample 2 following etching and diamond growth . . . . . . 142
6.7 STEM and EELS analysis of a nanodiamond seed near the AlGaN/diamond interface
of sample 2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 143
6.8 Atomic resolution STEM images of the SiC layer in sample 2 . . . . . . . . . . . . . . . 144
6.9 Representitive TTR traces taken from samples 1 and 2 with sensitivity analysis of
fitted parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 145
6.10 Theoretical evaluation of the contribution to the effective thermal boundary resistance
of SiC, AlN, and Alx Ga(1-x) N interlayers between GaN and diamond . . . . . . . . . . . 146
6.11 Phonon density of states overlap between diamond, 6H-SiC, GaN, and AlN . . . . . . 147

7.1 Schematic comparing diamond heatspreaders with flip chip heat extraction . . . . . . 150
7.2 Peak temperatures from simulations of overgrown diamond heatspreaders on Ga-polar
GaN HEMTs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 151
7.3 Schematic of diamond on N-polar GaN samples . . . . . . . . . . . . . . . . . . . . . . . 154
7.4 Simulation results for measured diamond on N-polar GaN-on-SiC . . . . . . . . . . . . 155
7.5 SEM images of the diamond growth on N-polar GaN . . . . . . . . . . . . . . . . . . . . 156
7.6 STEM images and EDS spectra of the diamond/N-polar GaN interface . . . . . . . . . 157
7.7 Diamond thermal conductivity and TBReff values for diamond on N-polar GaN . . . . 158
7.8 Raman spectra of diamond grown on sapphire and SiC . . . . . . . . . . . . . . . . . . . 159
7.9 Investigation of the thermal parameter space for diamond on GaN-on-SiC . . . . . . . 161

8.1 Schematic of SOI and Si-on-SiC devices which could support > 600V . . . . . . . . . . 166
8.2 TEM Image of SOI interface from Bruel et al. . . . . . . . . . . . . . . . . . . . . . . . . 168
8.3 Bonded Si-on-SiC wafer from Shinohara et al. . . . . . . . . . . . . . . . . . . . . . . . . 169
8.4 Si on SiC Bonding Process from Gammon et al. . . . . . . . . . . . . . . . . . . . . . . . 171
8.5 Schematic of measurements taken on Si bonded to SiC . . . . . . . . . . . . . . . . . . . 172
8.6 Transmission electron micrographs of the Si-SiC interface for both bonding process 1
and 2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 174
8.7 Results of fitting transient thermoreflectance traces for Si-on-SiC . . . . . . . . . . . . 176
8.8 Results of simulations for Si-on-SiC and SOI devices . . . . . . . . . . . . . . . . . . . . 178

xvi
L IST OF A CRONYMS AND I NITIALISMS

• 2DEG - Two Dimensional Electron Gas

• AFM - Atomic Force Microscopy

• AMM - Acoustic Mismatch Model

• BOX - Buried Silicon Oxide

• CCD - Charge Coupled Device

• CTE - Coefficient of Thermal Expansions

• CVD - Chemical Vapour Deposition

• CW - Continuous Wave

• DMM - Diffuse Mismatch Model

• DOS - Density Of States

• EDS - Energy Dispersive X-ray Spectroscopy

• EELS - Electron Energy Loss Spectroscopy

• FIB - Focused Ion Beam Milling

• FET - Field Effect Transistor

• HEMT - High Electron Mobility Transistor

• HFCVD - Hot Filament Chemical Vapour Deposition

• HPHT - High Pressure High Temperature

• hr-STEM - High Resolution Scanning Transmission Electron Microscopy

• HVPE - Halide Vapour Phase Epitaxy

• ICP - Inductively Coupled Plasma

xvii
LIST OF FIGURES

• LDMOS-FET - Lateral Double-Diffused Metal Oxide Semicondcutor Field Effect Transistor

• LED - Light Emitting Diode

• MBE - Molecular Beam Epitaxy

• MOCVD - Metal Organic Chemical Vapour Deposition

• MOSFET - Metal Oxide Semiconductor Field Effect Transistor

• MPCVD - Microwave Plasma assisted Chemical Vapour Deposition

• MTTF - Mean Time To Failure

• PBS - Polarising Beam Splitter

• PL - Photoluminescence

• PSI - Phase Shifting Interferometry

• PVT - Physical Vapour Transport

• RF - Radio Frequency

• SEM - Scanning Electron Microscopy

• SIMOX - Separation by Implantation of Oxygen

• SOG - Spin On Glass

• SOI - Silicon On Insulator

• SRL - Strain Relief Layers

• STEM - Scanning Transmission Electron Microscopy

• TBR - Thermal Boundary Resistance

• TBReff - Effective Thermal Boundary Resistance

• TDTR - Time Domain Thermoreflectance

• TEM - Transmission Electron Microscopy

• TTR - Transient Thermoreflectance

• UHV - Ultra High Vacuum

• VSI - Vertical Scanning Interforemetry

• WLI - White Light Interferometry

xviii
HAPTER
1
C
I NTRODUCTION

he advent of semiconductor field effect transistors (FETs) in the 1950s has revolutionised

T humankind’s capacity for data processing and communication. The developments have
allowed for a step-change in the rate of progress of all areas of knowledge as well as
dramatic changes to everyday ways of life. These devices form one of the fundamental building
blocks of electronic applications; they allow for amplification and switching of an electronic signal.
Before the advent of solid-state transistors, this role was performed by thermionic valves which
formed the basis of computing through the first and second world wars. Such devices were bulky,
inefficient, expensive, and had a short lifetime. Replacing these with the more efficient, lighter,
inherently more portable and reliable semiconductor transistors has allowed for a transformation
in how we use electronic devices.

Whilst interest in semiconductors had been steadily building since Faraday first observed that
the electrical resistance of AgS2 decreases with temperature in 1883, the field began to bloom
in the 1940s [1]. Shockley first suggested the concept of a semiconductor amplifier operating by
means of the field-effect principle in 1945 and the first transistor was fabricated at Bell Labs
by his colleagues Bardeen and Brattain also in 1945 [2, 3]. This point-contact transistor was
made of Ge and demonstrated a device which exhibited power gain although the mechanism
of operation was not yet well understood. Significant progress was made in the next ten years
and understanding and design of devices improved markedly. The limitations of Ge were soon
realised as its small bandgap (0.7 eV) resulted in a large degree of thermal excitation of carriers,
limiting device operation to low temperatures and resulting in high leakage currents. Silicon was
an ideal alternative given its similar chemistry and larger bandgap of 1.1 eV, helping to address
the issues outlined for Ge. The first commercially available Si device was manufactured in 1954
by Gordon Teal before Kahng and Atalla demonstrated the first metal oxide semiconductor field

1
CHAPTER 1. INTRODUCTION

effect transistor (MOSFET) [2, 4]. This device allowed for the development of the complementary
metal-oxide-semiconductor FET which, in conjunction with the integrated circuit, is the basis on
which most modern computing still relies on.

Silicon has gone on to dominate both the memory and power market for electronics due to the
capacity to make incredibly pure material cheaply from melt. However, there has been interest in
heterogeneous integration of Si with other materials in order to improve device performance for
a number of years. For instance, silicon-on-insulator (SOI) is a technology which first gleaned
interest in the 1960s. This technique involves bonding a thin Si film to an insulating layer such as
SiO2 or sapphire. Devices can be fabricated on the Si film with lower parasitic capacitance, lower
leakage, faster switching, and increased radiation hardness. These are the result of improved
isolation of the device from the substrate below coupled with reduced source-drain capacitance
[5]. These devices have become vital players for Si power devices and microelectromechanical
systems.

In addition to heterogeneous integration of Si, there is significant interest in alternative


semiconductors for specific applications. For instance, GaAs devices have been used for radio
frequency (RF) applications since the 1960s stemming from their much higher electron mobility
and higher cut off frequency of operation. Metal-oxide-semiconductor architecture was not
compatible with these devices due to the lack of native oxides. Instead, metal-semiconductor
FETs were developed utilising a Schottky gate contact. This was followed by the development of
the high-electron mobility transistor (HEMT) in 1980 by Mimura [6]. This utilised a GaAs/AlGaAs
heterojunction to form a two-dimensional electron gas (2DEG) with enhanced electron mobility
and switching speeds.

Recently, there has been increased interest in wide bandgap semiconductors (bandgap > 2 eV)
such as GaN and SiC as opposed to Si, Ge, and GaAs for RF and high power applications. These
materials have advantages when dealing with larger voltages; their high breakdown fields
(> 2 MV cm-1 ) allows them to sustain higher voltage drops with, theoretically, lower leakage
currents. Alternatively, they can sustain the same voltage drop with a narrower drift region
resulting in much lower on-resistances [7]. The higher thermal conductivity (up to 490 W m-1
K-1 [8]) and more mature material production of SiC have led to commercialisation of SiC power
devices and modules whilst GaN (thermal conductivity of around 160 W m-1 K-1 [9]) power devices
lag behind. The true advantage of GaN is the ability to fabricate heterostructures which enables
the production of HEMTs. These devices build on the potential of GaAs RF amplifiers, coupling
high electron mobility and carrier concentration with the high critical field and larger bandgap of
GaN to allow for increased output power density. In addition, operating at high voltage reduces
the need for voltage conversion and increases efficiency of these devices [10].

For all power and RF devices, thermal management is an important aspect of device design
for production of reliable devices. The mean time to failure (MTTF) of a device has an Arrhenius

2
relationship with peak temperature in the device,

−E a
MTTF = A exp (1.1)
kB T

where A is the constant of proportionality, E a is the activation energy of the lowest energy
failure mechanism which can be thermally induced, k B is the Boltzmann constant, and T is
the peak channel temperature. This equation shows that even a small increase in peak channel
temperature will have a significant impact on the lifetime of a device and, with the drive for
further increased power densities, the issue of inadequate thermal management will only become
more prominent in the future. This is illustrated in Fig. 1.1 which demonstrates the estimated
MTTF for a GaN transistor as a function of the peak channel temperature. Whilst SiC devices
benefit from its high thermal conductivity, both GaN and Si devices suffer from relatively modest
thermal conductivity. It is for this reason that heterogeneous integration of these materials with
high thermal conductivity materials, such as SiC and diamond, is a flourishing area of research
[11–16].

F IGURE 1.1. Estimated mean time to failure for a GaN high electron mobility transistor
as a function of peak channel (junction) temperature. Reproduced with permission
from Lambert et al. [17]

As well as developments in the use of SiC as a wide bandgap semiconductor in its own right, it
is also an attractive material for use in heatsinking and spreading. Recent advances in producing
high crystal quality, intrinsically semi-insulating material in boules of 150 mm diameter and

3
CHAPTER 1. INTRODUCTION

on the order of 30-50 mm long has lowered the cost of SiC wafers and improved their thermal
properties [18]. Si-on-SiC is a material system being researched as an alternative to SOI, seeking
to take advantage of the high thermal conductivity of the SiC as well as the electrical isolation
offered by high-purity, semi-insulating SiC [11–13, 19–22]. The use of SOI devices for high-power
power and RF applications is frequently limited by poor thermal management arising from
the highly thermally resistive buried oxide, particularly in hostile environments such as space.
Replacing the Si substrate with semi-insulating SiC removes the necessity of the buried oxide as
well as integrating a heatsink near the channel of the device. The increased radiation hardness
of SiC, arising from its wide bandgap, is also useful for space applications. The typical process
involves direct bonding of a SiC wafer to either a conventional SOI wafer or a Si wafer. The
SOI/Si wafer is then polished and devices are fabricated on the Si, allowing for the use of existing
Si technology and fabrication strategies. The current body of work suggests that Si-on-SiC has
much improved thermal management compared to SOI, with not dissimilar electrical properties.

Another material which utilises SiC heatsinks is GaN. Hexagonal polytypes of SiC possess
a number of properties which make them ideal candidates for epitaxial growth of GaN/AlGaN
heterostructures, particularly their semi-insulating nature and their similar crystal structure and
lattice constant. In fact, SiC substrates are the most closely matched to GaN and AlGaN out of all
the commonly used growth substrates with the added advantage of its high thermal conductivity
giving much improved thermal management over sapphire (∼ 30 W m-1 K-1 [23]), and Si (149 W
m-1 K-1 [24]). However, SiC is much more expensive than either sapphire or Si so it is only used
when improved thermal management is a necessity. Power amplifiers fabricated on GaN-on-SiC
are now the devices of choice for high-power, high-frequency power amplifiers (required for 5G and
beyond), and have been demonstrated to operate at output power densities exceeding 40 W mm-1
in the S-band (2-4 GHz) and 30 W mm-1 in the X-band (8-12 GHz) [25]. However, these devices
are still limited by thermal management. For instance, the 40 W mm-1 device was estimated to
have a peak channel temperature of 355°C. Such a device would be incapable of achieving the
lifetime and reliability required for defence or communication applications. The result is that
GaN-on-SiC devices are typically derated to output power densities of 5-6 W mm-1 , the equivalent
of increasing device footprint and cost.

Diamond would be an ideal alternative to SiC given its very high thermal conductivity of
nearly 2000 W m-1 K-1 [26]. Replacing SiC in GaN-on-SiC devices could enable a step-change in
the performance of GaN power amplifiers with increased output power densities or increased
device lifetime for equivalent output power densities [27]. However, direct growth of GaN on
single crystal diamond, similar to growth on SiC, is not feasible owing to the high degree of lattice
mismatch and coefficient of thermal expansion mismatch. In the last twenty years, much effort has
been expended on attempting this with little success [28–32]. A more successful strategy has been
employed commercially by Akash systems and RFHIC. This uses existing GaN growth techniques
on Si substrates to produce high quality HEMT structures. The Si growth substrate is removed

4
and polycrystalline diamond is grown on the backside [14]. Whilst the thermal conductivity
of polycrystalline diamond is lower than single crystal (∼ 1500W m-1 K-1 [33]), with thickness
dependent variations as a result of the grain structure [34], devices fabricated on this material
have already shown promise for outperforming their GaN-on-SiC counterparts in terms of output
power density [27]. Alternative approaches include the growth of thin, topside heat spreaders
on top of GaN HEMTs [35–37]. These aim to introduce near-junction thermal management to
the GaN transistor, increasing lateral heat flow away from the hot-spot within the channel.
These techniques show some promise although there are technological issues which arise from
fabrication of devices on this material. A final approach is similar to the backside growth, aiming
to replace the GaN growth substrate with diamond. However, this can be achieved by direct
wafer bonding of single or polycrystalline diamond onto the GaN HEMT. Such a process avoids
issues which occur when carrying out high temperature diamond growth (> 700°C) arising from
the thermal expansion mismatch and are an exciting alternative although less well developed
[38, 39].

In all these examples there are three main challenges for heterogeneous integration of
heatsinks. First, the bond between the device layer and the heatsink must be strong enough to
withstand any stresses which may occur as a result of coefficient of thermal expansion (CTE)
mismatch between the two materials due to elevated temperatures during fabrication or operation.
Second, the interfacial thermal conductivity must be high enough to ensure that the benefit of
the heatsink is seen. If there is a significant thermal resistance present at the interface it can act
as a thermal bottleneck, negating the benefit of the heatsink [40]. Third, both of these properties
must be optimised without degrading the electrical properties of the semiconducting device layer.

In the two cases outlined above (Si-on-SiC and GaN-on-diamond) there are still a number of
challenges which must be addressed to unlock their full potential. For instance, Si-on-SiC has
been frequently fabricated with the use of interfacial layers between the Si and SiC [12, 20, 21].
These layers, which aid the formation of a strong, stable bond, have been as thick as 800 nm and
made of relatively low thermal conductivity (< 40 W m-1 K-1 [41]) material such as polycrystalline
Si. However, direct thermal characterisation of the effect of these layers has been lacking. In
fact, rigorous thermal characterisation of this material has been missing in general, with the
thermal properties of the interface frequently being neglected [13]. Without knowledge of the
properties of the Si/SiC interface, it is impossible to accurately predict peak channel temperatures,
requirements for active cooling, and MTTF. Even for processes which do not directly employ an
interfacial layer (such as those demonstrated by Gammon et al. [11]) understanding how the
nature of the bonding processes affects its thermal properties is vital.

Whilst GaN-on-diamond has already been commercialised, there are still a number of issues
which need to be optimised to reach its theoretical limits and improve its reliability. To date, it
has been impossible to produce GaN-on-diamond without a third material at the interface, a
result of GaN’s instability in diamond growth reactors as well as its inability to bond strongly

5
CHAPTER 1. INTRODUCTION

to diamond [42–44]. Without this layer, the GaN can decompose when growing diamond on it
or the diamond quickly delaminates due to a lack of strong covalent bonds. A carbide forming
interlayer is, therefore, a necessity and a typical layer is amorphous SiNx . This layer is deposited
on the GaN in thickness < 100 nm and bonds strongly to both it and the diamond. However, this
layer introduces a significant thermal resistance between the diamond and GaN, limiting the
efficacy of the diamond heat spreader/sink [40]. Reducing the thermal boundary resistance is a
key priority for GaN-on-diamond researchers. Coupled with this is the mechanical robustness of
the interface. Studies have shown that the use of SiNx interlayers produces a mechanically strong
interface, which is likely able to sustain the local stresses experienced during device operation
[45]. However, changing the properties of this interlayer, or utilising entirely novel bonding
procedures, could affect the stability of the interface. Hence, having an accurate methodology for
measuring the strength of the interface is a vital aspect of GaN-on-diamond research as well as
research of heterogeneous integration of thin films in general.

1.1 Thesis Outline

The work contained in this thesis is concentrated on these two key themes: measuring and under-
standing factors which affect the interfacial strength of heterogeneously integrated materials
and investigating how different bonding and growth conditions can affect the thermal properties
of the interface. Research has been conducted in improving the methodology for investigating the
interfacial strength and understanding different factors which affect this. A significant portion
of the thesis is dedicated to the study of thermal properties of the interface between the semi-
conductor and heatsink or heatspreader. Study of this property was carried out using an optical
process called transient thermoreflectance (TTR) which utilises the thermo-optical coefficient
to monitor temperature changes in the material system when periodically heating it with a
pulsed laser. Simulations have also been used to give context to the measured thermal properties,
simulating the thermal performance of hypothetical devices as well as carrying out calculations to
investigate the theoretical thermal limits of different materials. This has been used to investigate
novel interlayers in GaN-on-diamond, investigate how different growth conditions can affect the
heat-spreading efficacy of diamond, and investigate how different bonding processes can affect
the thermal performance of Si-on-SiC.
Chapter 2 gives a theoretical background to the fundamental properties of crystals and
materials which determine how heat flows through them. Phonon theory is discussed which is
vital for understanding many properties of semiconductors. This is developed to understand
how heat flows through semiconductors, as well as in metals and amorphous materials. Two
models, the acoustic mismatch and diffuse mismatch model, are introduced to help understand
the origin and nature of thermal boundary resistances between two materials. Chapter 3 gives
details of the material properties and synthesis of GaN, as well as the operating principles of a

6
1.1. THESIS OUTLINE

GaN HEMT. The discussion then moves on to diamond, again covering material properties and
different synthesis methods. This section is focused on the thermal properties of polycrystalline
diamond and how its microstructure affects its thermal conductivity and introduces anisotropy.
As a key topic of this thesis, a detailed review of the state-of-the-art of integrating diamond
with GaN is given, discussing GaN growth on diamond, diamond growth on GaN, and methods
of bonding, critically evaluating the merits and challenges of each method. The properties and
growth of SiC are then discussed, with a focus on its use for heatsinking and spreading rather
than electronic devices. Finally, a brief review of SOI materials is given, discussing fabrications
strategies, devices commonly used for high-power applications, and the limitations of these
resulting from the material system.

Chapter 4 details the experimental and theoretical methods used. This covers optical spec-
troscopic techniques (such as Raman spectroscopy and photoluminescence spectroscopy) and
includes a brief review of common TTR methods and details of the TTR equipment and analysis
used in this work. Background of nanoindentation as a technique for analyses of material proper-
ties is given as are details of how a nanoindenter accurately controls and monitors applied forces
and displacements. A brief description is given for different indenter geometries. Methods for
topographical mapping, required for evaluation of interfacial strength, are also given, detailing
the principles and operating conditions used for atomic force microscopy and optical profilometry
using white light interferometry. A suite of techniques for microstructuraland chemical analysis
of surfaces and interfaces is given including Scanning Electron Microscopy (SEM), Transmission
Electron Microscopy (TEM), focused ion beam milling, Electron Energy Loss Spectroscopy (EELS),
and Energy Dispersive X-ray Spectroscopy (EDS). All these techniques are used to understand
how the interface and surface structure and chemistry affect the mechanical and thermal proper-
ties of materials and interfaces. Finally, a description of Finite Element Analysis (FEA) is given
along with how it can be used for thermal analysis of electronic devices.

The next four chapters are results chapters. Chapter 5 details the development of improved
metrology for investigating the interfacial strength of thin, well bonded films on stiff substrates.
In this chapter, details are given on the background of nanoindentation induced blistering for
analysis of interfacial toughness and the variety of analytical models used for analysis are
discussed. Experiments are carried out on three commercial GaN-on-diamond samples, provided
by Element Six Ltd. A comparison is made between the new metrology and that previously
employed and is found to provide statistically significantly different results. In addition, the use
of white light interferometry for topological mapping is validated against atomic force microscopy.
Photoluminescence spectroscopy is used to investigate the stress within the GaN to understand
the origin in variations of interfacial toughness from sample to sample whilst SEM is used to
qualitatively investigate the nature of fracture at the interfaces after complete delamination of
the GaN film.

Chapter 6 gives details of an investigation into the use of alternative interlayers for integra-

7
CHAPTER 1. INTRODUCTION

tion of diamond with GaN. A novel approach was carried out, using Al0.32 Ga0.68 N interlayers
integrated with the GaN HEMT epitaxy near the channel. Diamond was grown on these using
two approaches: a wafer flipping approach with complete removal of the Si growth substrate and
a membrane approach with selective area removal of the Si substrate. The thermal properties
were investigated using TTR whilst the microstructure and chemistry of the interfaces were
examined using scanning TEM, EELS, and EDS. These analyses revealed excellent thermal
properties for the membrane sample but poor properties for the flipped sample. This is believed
to arise from the deposition of a thin (< 10 nm), crystalline, hexagonal SiC layer between the
diamond and Al0.32 Ga0.68 N for the membrane which was not present in the flipped sample. An
analytical model was used to investigate the theoretical contribution to the effective thermal
boundary resistance of AlN, SiC, and Alx Ga(1-x) N interlayers.
In chapter 7, the thermal properties of thin (< 2 μm) polycrystalline diamond heat spreaders
overgrown on N-polar GaN HEMT structures were investigated using both TTR and FEA simula-
tions. Transient thermoreflectance was used to characterise the thermal conductivity of a range
of diamond thin films grown on GaN-on-sapphire and GaN-on-SiC transistor structures. A novel
multi-stage diamond growth process was used to shrink the thickness of the near-nucleation dia-
mond thickness and encourage more isotropic films. The thermal measurements were combined
with scanning TEM and EDS to understand how the interface structure and chemistry were
affected by the growth conditions and their relation to the thermal properties. In addition, Raman
spectroscopy was carried out to correlate diamond growth conditions with diamond crystal qual-
ity and residual strain and their relationship to diamond thermal conductivity. Finite element
simulations were used to evaluate the relative benefit of introducing the top side diamond heat
spreader for the different GaN HEMT epitaxies used as well as to explore the potential benefits
of increasing diamond thermal conductivity, reducing the effective thermal boundary resistance,
and reducing the anisotropy of the diamond film.
The final results chapter, chapter 8, gives details of a study of direct bonded Si-on-SiC.
Transient thermoreflectance was used to investigate the thermal properties of Si bonded to
semi-insulating 4H-SiC using hydrophobic or hydrophilic bonding processes. The results were
once again compared to TEM images of the interface to understand any variations between
the different samples. Finite element simulations were then used to investigate the thermal
benefit of Si-on-SiC over SOI for a high voltage, power switching device which may be deployed
for propulsion in deep space missions. The thermal properties measured experimentally for
the Si-on-SiC material were used in these simulations, comparing to an equivalent SOI device
with a 2 μm buried oxide. These simulations were also used to investigate the parameter space,
exploring how varying either the effective thermal boundary resistance between Si and SiC or
the SiC thermal conductivity impact the peak temperature rise of the device.

8
HAPTER
2
C
H EAT AND P HONON T HEORY

n order to understand how to optimise the thermal and mechanical properties of the

I interface between heatsinks and electronic devices, it is necessary to understand how heat
flows between different materials as well as the fundamental properties of the materials
studied. This chapter discusses heat transport through semiconductors, metals, and amorphous
materials, providing an in-depth explanation of phonon theory.

Heat is, at the most basic level, a form of energy which can be transferred from one body to
another following the second law of thermodynamics. The nature in which the heat is transported
depends on the nature of the body. For instance, in the case of solids with free electrons, such
as metals, heat transfer is dominated by the movement of excited electrons from hot to cold. In
crystalline substances with tightly bound electrons this is not possible. Instead, heat is transferred
by concerted, elastic vibrations of atoms or ions. The fundamental quantum of this vibrational-
mechanical energy is called a phonon [46]. It is analogous to a photon for electromagnetic energy
and it can be treated in a similar manner as a quasiparticle. It is important to note that, the
thermal conductivity of a material depends not on how the heat is carried but on the ease
with which it is transferred. Fourier’s law defines the thermal conductivity of a material as the
constant of proportionality between the heat flux incident on a material and the temperature
gradient across it [46]. This depends on how far heat carriers (phonons or electrons) can travel
through the material unimpeded. The next section outlines the basics of phonon theory and the
scattering mechanisms which impinge on the thermal conductivity of a material. It starts with a
simple harmonic model of phonons before introducing higher order terms which are vital for a
physical understanding of heat flow in semiconductors as well as other fundamental properties of
crystals.

9
CHAPTER 2. HEAT AND PHONON THEORY

2.1 Phonon Theory

The vibrational, and hence thermal, properties of semiconductors can be understood by picturing
a simple one-dimensional (1-D) chain of N atoms, shown in Fig. 2.1(a) [46, 47]. These atoms
are treated as point masses and the chemical bonds between them as springs. In this model,
a number of simplifications will be made. First, only nearest neighbour interactions will be
considered. Second, it will be assumed that the elastic response of this simple crystal is a linear
function of forces, i.e. the elastic energy is a quadratic function of the relative displacement of the
atoms. Linear terms will disappear at equilibrium and cubic and higher terms are assumed to be
negligible for small deformations.

F IGURE 2.1. (a) A 1-D chain of atoms of mass M, equilibrium separation a, and dis-
placement Un . (b) A 1-D chain of two types of atoms of mass M and m, equilibrium
separation b, and displacement Un and Vn .

The restoring force acting on the n th atom resulting from the displacement of its nearest
neighbours is proportional to the difference between their displacements. It can be calculated
using Hooke’s law (Eq. 2.1),

F s = C(Un+1 − Un ) + C(Un−1 − Un ) (2.1)

where C is the spring constant of the chemical bond between the atoms and Un is the displacement
of the n th atom from its equilibrium position. Using Newton’s second law of motion, the motion of
this atom is described by Eq. 2.2,

d 2U n
M = C(Un+1 + Un−1 − 2Un ). (2.2)
dt2

This equation can be solved in the form of a special wave which is only defined for lattice sites.

10
2.1. PHONON THEORY

This has the travelling wave solution in the form

Un (t) = e inka e− iω t , (2.3)

where a is the equilibrium separation of nearest neighbour atoms, k is the wavevector, ω is the
angular frequency of the wave, and t is time. This wave has a time dependence of e− iω t meaning
d 2Un /dt2 = −ω2Un and, hence, Eq. 2.2 becomes

− M ω2Un = C(Un+1 + Un−1 − 2Un ). (2.4)

By substituting Eq. 2.3 into Eq. 2.4 the following expressions are generated:

− M ω2 e i(kan−ω t) = −C(2 − e− ika − eka )e− i(kan−ω t) ,


(2.5)
− M ω2 e i(kan−ω t) = −2C(1 − cos ka)e− i(kan−ω t) .

Eq. 2.5 gives the dispersion relation, relating the angular frequency of the vibration with the
wavevector, s s
2C(1 − cos ka) C ¯¯ ka ¯¯
ω(k) = =2 ¯ sin ¯. (2.6)
M M 2

The wavevector is given by k = λ
where λ is the wavelength of the vibration. This relationship is
periodic and centred around k = 0, known as the Γ point. The repeating unit of the dispersion
relation is called the first Brillouin zone as shown in Fig. 2.2. The boundary of the first Brillouin
zone in this simple model is at k = ± πa . In the long wavelength limit, when ka ≪ 1, Eq. 2.6
simplifies to
C 2 2
ω2 =
k a , (2.7)
M
and shows that for long wavelength phonons, the frequency is directly proportional to the
wavevector. This is the equivalent to the statement that the speed of sound is independent of
frequency. The model can be expanded to include a second type of atom in the primitive basis
set, Fig. 2.1(b), maintaining the simplifications of the one atom mode and adding that the force
constant between all atoms is the same, C. The two equations of motion for the two types of
atoms are:
d 2U n
M = C(Vn + Vn+1 − 2Un ) (2.8)
dt2
d 2 Vn
m = C(Un + Un−1 − 2Vn ). (2.9)
dt2
Again, solutions in the form of a travelling wave are used and substituted into Eqs. 2.8 and
2.9 to arrive at the dispersion relation:
s
³1 1´ ³ 1 1 ´2 4 kb
ω2 (k) = C + ±C − sin 2 . (2.10)
M m Mm Mm 2

This relationship has two branches for the positive and negative square root in the solution,
shown in Fig. 2.2(b). The low frequency solution is the acoustic branch whilst the high frequency

11
CHAPTER 2. HEAT AND PHONON THEORY

F IGURE 2.2. (a) The simple, harmonic dispersion relation of a 1D chain of atoms with
equilibrium separation a, considering only nearest neighbour interactions. (b) The
dispersion relation with the same approximations as in (a) except with a two atom
primitive basis set and equilibrium spacing of b.

branch is the optical. These names originate from the nature of the vibrations within these
branches. The acoustic branch is so-called as these vibrations have a long wavelength, involving
the concerted movement of many atoms. Adjacent atoms vibrate in phase and this kind of
vibration gives rise to sound. Phonons in the optical branch involve high frequency vibrations
between near atoms, and adjacent atoms vibrate in antiphase. It is named the optical branch
because, if these vibrations result in a change in the dipole between the atoms, they are able to
interact with the electric field of light waves. Importantly, these phonons have non-zero energy
at the Γ point, a property arising from the fact they represent the symmetric oscillation of two
atoms about their lattice point; i.e. there is no long range translation.
The dispersion relation also illustrates some interesting properties of phonons. The trans-

mission velocity of a wave packet is termed its group velocity and is given by v g = dk , i.e. the
gradient of the dispersion relation. At the Brillouin zone boundaries, the gradient of both the
optical and acoustic branches is equal to zero. This means that at these points the phonons are
no longer travelling waves but standing waves and the wavepackets do not propagate through
the crystal. As explored in the subsequent section, phonons are thermal carriers in crystals. The
group velocity of a phonon branch is vital in determining its capacity to carry thermal energy
through the crystal. Typically, acoustic phonons have a much larger group velocity than optical
phonons, demonstrated in Fig. 2.2 by the much higher gradient of the acoustic phonon branch.
For this reason, acoustic phonons are much more important for thermal transport than optical.
This simple 1-D model can be extended into a more realistic 3-D crystal structure although
there is the added complication that atoms in a 3-D structure have more degrees of freedom.

12
2.1. PHONON THEORY

This means they are able to move in the plane of the atoms (as was shown for the 1-D chain)
but also in the other two planes which are present. Movement along the plane of the atoms
gives rise to longitudinal phonons whilst movement of atoms in orthogonal planes gives rise
to transverse phonons. If there are N primitive unit cells containing p atoms there are then
N p total atoms. Each atom has three degrees of freedom relating to the x, y, and z directions,
giving a total of 3pN degrees of freedom. There are N allowed k values for a single phonon
branch within the first Brillouin zone (equivalent to the number of lattice sites in the crystal unit
cell) meaning the longitudinal and transverse acoustic branches have a total of 3N modes. The
longitudinal and transverse optical branches must make up the remaining degrees of freedom
resulting in a total of (3p − 3)N modes. Whilst the dispersion relations derived in this section
are simplistic, the structures are consistent with more complicated crystals, having optical and
acoustic branches. For a more realistic crystal, there will be more solutions in each of these
branches whilst the dispersion relations often utilise letters other than Γ. These letters denote
high symmetry reciprocal lattice directions.

2.1.1 Phonon Heat Capacity and the Debye Model

Throughout this section, when heat capacity is referenced it refers to the heat capacity at constant
volume, C V ≡ (∂U/∂T)V where U is the energy stored in the material and T is the temperature.
It can be broken down into its constituent elements such as the phonon or lattice heat capacity,
C lat . The total lattice energy of a crystal at temperature T is given by the sum of energies over
all phonon modes and polarisations, P, which, in the harmonic approximation, is
XX XX
Ulat = Uk,P = 〈 n k,P 〉ℏωk,P (2.11)
k P k P

Importantly for calculating C lat , phonons have zero spin meaning they obey Bose-Einstein
statistics. This means that the thermal equilibrium population, 〈 n〉, of an energy level is given by

1
〈 n〉 = . (2.12)
exp (ℏω/k B T) − 1

Hence the energy of the phonons at thermal equilibrium is given by

XX ℏωk,P
Ulat = . (2.13)
k P exp (ℏωk,P /k B T) − 1

It is convenient to replace the summation over wavevector with an integral,


XZ ℏω
Ulat = d ωD p (ω) (2.14)
P exp( ℏω /k B T) − 1

and, recalling that C lat = ∂Ulat /∂T

XZ x2 exp(x)
C lat = k B d ωD p (ω) , (2.15)
P (exp(x) − 1)2

13
CHAPTER 2. HEAT AND PHONON THEORY

where x = ℏω/k B T. In Eq. 2.15 D p (ω) is the phonon density of states (DOS). This defines how
many modes of a given polarisation are present in the frequency range ω to ω + d ω. It is possible
to experimentally measure the phonon DOS using inelastic neutron scattering experiments [48],
although it is common to approximate it with the Debye model. This model assumes that the
dispersion relation of acoustic branches can be approximated as a linear for all values of k and
that the velocity of sound is constant regardless of polarisation. Only the acoustic branches need
be considered as these are the dominant thermal carriers given their much larger group velocities
(Fig. 2.2). In this scenario, the phonon DOS is given by

V ω2
D(ω) = (2.16)
2π 2 v 3
where V is the volume of the specimen, and v is the constant velocity of sound. This is possible
as the dispersion relation when applying this simplification is given by ω = vk. As outlined in
section 2.1, for N primitive cells, there will be N acoustic branches of a single polarisation in a
1-D crystal. A cut-off frequency,ωD , and, a cut-off wavevector,kD , can be determined:

6π2 v3 N
ω3D = (2.17)
V
ωD ³ 6π2 N ´1/3
∴ kD = = . (2.18)
v V
It is important to note that this cut-off frequency is the cut-off frequency of the Debye model,
not the real phonon cut-off frequency. In the Debye model, no modes with wavevector > kD are
allowed as the number of degrees of freedom (N) are exhausted. As the speed of sound is assumed
to be independent of polarisation, it can be easily extended to 3-D meaning the phonon thermal
energy (Eq. 2.14) and heat capacity (Eq. 2.15) are given by

3V ℏ2π2 ωD ω3
Z
U= d ω , (2.19)
v3 0 exp(ℏω/k B T) − 1
Z ωD
3V ℏ2 ω4 exp(ℏω/k B T)
C lat = 2 3 d ω (2.20)
2π v k B T 2 0 (exp (ℏω/k B T) − 1)2
respectively. This result implies that the heat capacity of a material will increase with tempera-
ture, a consequence of increased occupancy of phonon modes. This will continue until all modes
are populated at which point the heat capacity will reach a limiting value, equal to the classical
value of 3N A k B T = 24.9 J K-1 , where N A is Avogadro’s number. This is known as the law of
Dulong-Petit. The temperature above which the heat capacity approaches this value in the Debye
model is known as the Debye temperature and is given by

ℏv ³ 6π2 N ´1/3
ΘD = . (2.21)
kB V
At low temperatures, only phonon modes which have energy significantly lower than k B T will be
populated. The Debye model predicts the heat capacity to increase with T 3 which is seen for real
crystals at very low temperatures, e.g. T = ΘD /50.

14
2.2. THERMAL CONDUCTIVITY

In summary, the Debye model of phonon DOS and heat capacity agrees well with real crystals
considering its simplistic nature, particularly for low phonon frequencies (low temperature).
However, there is increased disagreement at higher frequencies arising from discontinuities
which occur due to phonon combination bands. This results in the Debye cut-off frequency being
slightly higher than the real crystal phonon cut-off frequency. It is a very useful model for
comparing and predicting qualitative thermal properties of semiconductors without necessarily
giving entirely accurate values.
The Einstein model is an alternative model for the temperature dependence of heat capacity
[46]. Like the Debye model, it assumes that atoms behave as harmonic quantum oscillators.
Unlike the Debye model, it assumes all oscillators oscillate with the same frequency, ωE . This is
equivalent to assuming a single phonon mode in the dispersion relation. These oscillators are
assumed to follow Maxwell-Boltzmann statistics so the average energy is given by,
ℏωE ℏωE
U(ωE ) = + . (2.22)
ℏω E
³ ´
exp −1 2
kB T

In 3-D, each atom has three degrees of freedom. Hence, the total energy of a crystal made up of
N atoms is given by,  
ℏωE ℏωE 
Ulat = 3N  + . (2.23)
ℏωE
³ ´
exp −1 2
kB T

Recalling that C lat is given by ∂Ulat /∂T, the heat capacity is now given by,

x2E exp (xE )


C lat = 3N k B (2.24)
(exp (xE ) − 1)2

where xE = kℏω
BT
E
. In a similar manner to the Debye model, the heat capacity approaches the
Dulong-Petit value for high temperatures. However, at very low temperatures, the heat capacity
decreases with an exponential relationship to temperature rather than the measured temperature
dependency of T 3 . This is due to the assumption of a single phonon frequency. However, the
Einstein model is useful for approximating the optical phonon part of the phonon spectrum.

2.2 Thermal Conductivity

The definition of thermal conductivity of a material is given by Fourier’s Law. Imagine heating
one end of a long rod: at this end, there will be a local heat flux, J, and across the rod there
will be a temperature gradient, ∇T. The thermal conductivity of a material, κ, is defined as the
negative constant of proportionality between J and ∇T, shown in Eq. 2.25.

J = −κ∇T. (2.25)

The negative sign is important; it defines that heat always flows from hot to cold. The fact that the
thermal conductivity depends on the temperature gradient gives insight into the nature of heat

15
CHAPTER 2. HEAT AND PHONON THEORY

flow. If heat were to simply flow instantaneously from hot to cold then the thermal conductivity
would depend on the temperature difference from one end to the other, regardless of the distance.
However, as it depends on the thermal gradient, heat must diffuse down the rod in a random
nature. Breaking with the continuum approach of Fourier’s law, the thermal conductivity of a
solid can be determined by assuming there are quasiparticles which act as thermal carriers
within the rod. These thermal carriers are analogous to an ideal gas within the rod. In this model,
the thermal conductivity of the solid is given by

1
κ= Cvl (2.26)
3

where C is the heat capacity per unit volume, v is the average particle (or carrier) velocity,
and l is the mean free path of a particle (or carrier), the mean distance travelled by a particle
between collisions. The nature of the thermal carriers, either phonons or electrons, depends on
fundamental material properties as well as material quality, covered in latter sections. If there is
little scattering of carriers then l is large and κ is also large, demonstrated in Fig. 2.3. Scattering
of carriers can occur via two mechanisms: collisions with other carriers, or collisions with lattice
imperfections such as point defects or crystal boundaries. The heat flow in semiconductors
(building on the exposition of phonon theory in section 2.1), metals, and amorphous materials
will now be explored in more depth. This will be followed by a description of how heat travels
across interfaces between dissimilar materials and the commonly used models for examining
interfacial thermal conductivity.

(a)
T1 > T 2
T1 T2
(b)

T1 T2
F IGURE 2.3. Schematic diagram of the importance of particle mean free path on the
thermal conductivity of an ideal gas of thermal carriers: (a) shows a system with a
small mean free path, (b) shows one with a large mean free path.

16
2.2. THERMAL CONDUCTIVITY

2.2.1 Heat Flow in Semiconductors

In semiconductors, the dominant thermal carriers are phonons. Such materials have tightly
bound electrons which are highly localised and unable to carry heat through the crystal. The
thermal conductivity can be approximated using Eq. 2.26 and depends on the heat capacity of
the phonons, the phonon mean free path, and the average particle velocity. As phonons do not
have spin, they obey Bose-Einstein statistics as demonstrated in Eq. 2.12. This means that as
the temperature rises, the number of phonons available to carry energy increases, increasing the
phonon heat capacity. The Debye model predicts that the phonon heat capacity, and therefore
phonon thermal conductivity, will increase as T 3 . As the temperature approaches the Debye
temperature, the heat capacity plateaus and the mean free path of the phonons begins to limit
the phonon thermal conductivity. One process which can reduce the phonon mean free path is
phonon-phonon collisions. For this to occur, anharmonicity must be introduced into the Debye
model to allow for coupling between phonons. A simple three phonon process is outlined in Fig.
2.4(a) where two phonons collide to produce a third phonon. Due to the conservation of momentum
and energy, the wavevectors of the phonons have the relationship

k1 + k2 = k3 . (2.27)

This is a Normal process and results in no net change in the energy or momentum of the phonon
gas as a whole. These processes have no effect on the thermal conductivity of the material which
depends on the drift velocity of the gas. For phonon-phonon collisions to affect the thermal
conductivity of the material, they must change the net momentum of the gas.
Umklapp processes are alternative scattering events which do introduce thermal resistivity
to the material. A three phonon Umklapp process is described by

k1 + k2 = k3 + G (2.28)

where G is a reciprocal lattice vector, shown graphically in Fig. 2.4(b). For these processes, it can
be seen that energy is conserved as is the total crystal momentum. However, the momentum of
the phonon gas has changed, resulting in a decreased drift velocity of the phonon gas. Umklapp
processes can be understood by considering the periodic symmetry of a crystal. As has been
seen in section 2.1, all relevant information is held within the first Brillouin zone. For collisions
between large k phonons, the third phonon created will have a k which goes beyond the first
Brillouin zone. This is equivalent to having a phonon created which has negative momentum
compared to the original two phonons, reducing the average momentum of the phonon gas.
Umklapp scattering only becomes prevalent when high k, and hence high energy, phonons are
excited as both k1 and k2 must be on the order of G/2. This provides insight into the temperature
dependence of the thermal conductivity of semiconductors. At low temperature, when only low k
phonons are excited, the thermal conductivity increases with temperature due to an increase in
the number of excited thermal carriers. However, as the temperature rises, the number of carriers

17
CHAPTER 2. HEAT AND PHONON THEORY

(a) ky (b) ky

First Brillouin Zone First Brillouin Zone


k1 k1

kx k2 kx
k2
k3 k3
G

F IGURE 2.4. (a) shows a three phonon collision undergoing Normal scattering whilst
(b) illustrates Umklapp scattering of a three-phonon collision. kn indicates the
wavevector of the phonon n whilst G is the reciprocal lattice vector. The grey box
indicates the first Brillouin zone in reciprocal space.

with the necessary energy for Umklapp scattering increases. This leads to the temperature
dependence of the thermal conductivity having a peak, beyond which it begins to decrease as
a result of increased Umklapp scattering. A plateau is reached at high temperatures when
T > ΘD and all phonon modes are excited with a substantial number of Umklapp collisions. This
temperature dependence is demonstrated in Fig. 2.5.
The frequency of Umklapp scattering, τ−1 , can be modelled using the Debye model as a
function of temperature using the equation

τ−1 = Bω2 T eΘD /3T . (2.29)

The constant B is material dependent and determines the likelihood of Umklapp scattering
occurring in a particular material. It is approximated by,
ℏγ2
B= ω2 T eΘD /3T (2.30)
Mv2p ΘD
where M is the average atomic mass, v p is the speed of sound in the crystal (determined by the
group velocity of the acoustic phonons), and γ is the Grüneisen parameter which describes how
the phonon properties vary with crystal volume [46, 49]. It can be seen that materials made up of
light atoms, with high acoustic phonon velocities, and high Debye temperatures are less likely to
undergo Umklapp scattering. This results in an increase in the phonon mean free path and the
thermal conductivity of the material.

18
2.2. THERMAL CONDUCTIVITY

Whilst normal phonon-phonon scattering process will not affect the thermal conductivity,
normal collisions between phonons and crystal imperfections and boundaries are important.
These collisions will suppress the phonon mean free path with a net change in the phonon
gas’ momentum and energy, reducing the thermal conductivity. Size effects, the limiting of
phonon mean free path by scattering at crystal boundaries, become particularly important in
two circumstances: at low temperatures where l is large and becomes comparable to the width
of the specimen, and for nano-structures where the specimen dimensions are comparable to l.
The first instance can be understood by considering the fact that, at low temperatures, Umklapp
scattering is minimal. This results in an increase in l and the thermal conductivity of a crystal
becomes a function of its size as boundary scattering becomes the dominant mechanism for
limiting thermal conductivity. Below a certain temperature, the thermal conductivity of a sample
will undergo an abrupt decrease as the size effect becomes dominant. The second instance is,
in reality, an extension of this first instance where the crystal dimensions are so small this
transition occurs at higher temperatures. Crystal imperfections and chemical impurities can also
act as scattering centres limiting the mean free-path of phonons. Hence, poor quality crystals can
have significantly reduced thermal conductivity compared to purer counterparts. If impurities
and imperfections are limiting the thermal conductivity, the distinctive temperature dependence
(Fig. 2.5) is lost as Umklapp scattering and heat capacity stop being the limiting factors of the
thermal conductivity. This effect is also often significant in limiting the thermal conductivity of
thin films in which it is more difficult to produce high quality crystals. Both the size effect and
impurity scattering means it can be challenging to produce thin, semiconductor films with high
thermal conductivity.

2.2.2 Thermal Conductivity of Metals

Metals have much lower binding energies for electrons meaning they are free to carry heat in
the crystal. The thermal properties of metals can be understood by considering the free electron
model. In this model, the valence electrons of an atom become conduction electrons, moving freely
throughout the metal. The free electrons can be treated as analogous to an ideal gas, similar to
phonons, resulting in the free electron Fermi gas. The thermal conductivity of a metal is given by
the same equation as for semiconductors, Eq. 2.26. However, the thermal conductivity of metals
has a significantly different temperature dependence to semiconductors. This arises from the
fact that electrons, unlike phonons, have spin meaning they obey the Pauli exclusion principle.
Hence, electron occupation follows a Fermi distribution rather than Bose-Einstein statistics.
As the electron gas is heated from absolute zero, only a fraction of electrons can be thermally
excited, those which sit in orbitals within the energy range of ∼ k B T. For N total electrons at
temperature T this fraction is on the order T/T F , where T F is the Fermi energy (or temperature)
and corresponds to the temperature where thermal energy is equal to the Fermi level (ϵF ), the
lowest energy occupied state in a Fermi electron gas at zero temperature. This results in the

19
CHAPTER 2. HEAT AND PHONON THEORY

F IGURE 2.5. The temperature dependence of phonon thermal conductivity of a Sb2 Te3
film using the Debye model. This shape is characteristic of the phonon thermal
conductivity using the Debye model. Reproduced with permission from Li et al.
[50].

electronic heat capacity being given by

π2 k2B T N
C el = . (2.31)
2ϵ F

Using the classical relationship that ϵF = 21 m e v2F where m e is the mass of the electron and vF is
the Fermi velocity, Eq. 2.26, and Eq2.31 the electronic thermal conductivity is
2
π2 nk B T π2 nk2B T l
κ el = vF l = . (2.32)
3 mv2F 3mvF

From this relationship, one would expect the thermal conductivity of metals to increase
with temperature as the velocity of electrons and the number of excited carriers increase. This
occurs as electron-electron scattering is minimal at all temperatures. However, this neglects
phonon-electron scattering. Similar to phonon-phonon scattering, κ el will only be affected by
Umklap scattering. This occurs at temperatures where phonons with large wavevectors are
excited meaning that as temperature rises, the mean-free path of electrons, and κ el , will be
reduced, shown for Ag, Al, and Cu in Fig. 2.6. Whilst the thermal conductivity of metals is not
explicitly investigated in this thesis, understanding the differences in how heat is carried in
metals from semiconductors is important for understanding the challenges of heat transfer across
metal-semiconductor interfaces. The high thermal conductivity of metals is also important for
devices where metallisation on the surface can aid heat spreading.

20
2.2. THERMAL CONDUCTIVITY

F IGURE 2.6. The temperature dependence of the thermal conductivity of bulk copper,
silver, and aluminium. Reproduced with permission from Abdel-Samad et al. [51]

2.2.3 Thermal Conductivity of Amorphous Materials

Understanding the thermal properties of amorphous materials was initially misguided. It was
assumed that the dominant heat carriers in these materials would be vibrations and that their
low temperature heat capacity would follow the Debye model with a temperature dependence of
T 3 . However, this assumption was rooted in a misconception. The phonon gas model described
in section 2.1, the basis of the Debye law, will not apply to amorphous materials as it requires
long range periodicity which is not present. The nature of vibrations in amorphous materials
can be placed into three categories: plane-wave like propagons, diffusons, and locons. Propagons
are similar to phonons in crystalline solids where the wavevector is a valid quantum number
and show some degree of periodicity. Whilst these are thermal carriers in amorphous materials,
they are not dominant for the same reason that phonon theory cannot be applied. Locons are
localised vibrational modes which do not move through the amorphous material and hence carry
no thermal energy. Instead, diffusons are the dominant thermal carriers. These are extended
vibrations, similar to propagons but without periodicity. Rather than propagating through the
material like a phonon, heat is carried by diffusion of these modes through the material. The
short-range periodicity present in amorphous materials results in very localised vibrational
modes and, hence, very low thermal conductivity. These different modes can explain the un-
usual temperature dependence of amorphous materials’ thermal conductivity. For instance, for
amorphous Si, at very low temperatures (< 10 K) the thermal conductivity is approximately a
quadratic function of temperature, at slightly higher temperatures (10 K < T < 30 K) a plateau is

21
CHAPTER 2. HEAT AND PHONON THEORY

reached, and at T > 30 K the thermal conductivity smoothly increases to a saturated value at
around 100 K, shown graphically in Fig. 2.7. In the low temperature regime, thermal conductiv-
ity is dominated by propagons. Like phonons as the temperature increases propagons become
increasingly scattered by non-elastic processes, which results in the plateau. Beyond this plateau,
the thermal conductivity increases as more diffusons become excited until the population is
saturated. Whilst the thermal properties of amorphous materials are not discussed in-depth in
this work, it is important to understand why they have such a low thermal conductivity as they
are frequently present at the interface between heterogeneously integrated materials [48, 52].

F IGURE 2.7. The temperature dependence of the thermal conductivity of an amorphous


Si film. Diamond’s are data from ref. [53] and crosses are data from ref. [54]. The
solid line indicates the analytically modelled thermal conductivity whilst the dotted
and dashed lines indicate the theoretical contributions of propgaons and diffusons
respectively. Reproduced with permission from Feldman et al. [55]

22
2.2. THERMAL CONDUCTIVITY

2.2.4 Interfacial Thermal Resistance

At the junction of two dissimilar materials, there is a fundamental thermal resistance which
results from their dissimilar thermal properties. For instance, at the interface between a metal
and a semiconductor, there is a coupling interaction between phonons and electrons which
impedes the thermalisation between the two materials. This effect is called the Kapitza thermal
resistance. At the interface between two semiconductors, phonons will not necessarily be able
to propagate from one material to another if there is not a phonon mode of equal energy and
momentum present in the second material for it to populate. Instead, it may be necessary for
a three or four phonon process to occur at the interface to create a phonon which can inhabit
an available state in the second material. The likelihood of such a phonon travelling across the
interface depends on the similarity of the phonon DOS between the two materials. If they are
similar materials, there will be a reduced impedance as it will be more likely for an incident
phonon to transmit into a state in the second material. In any case, a temperature discontinuity is
produced at the interface. The thermal boundary conductivity, G, is defined as the ratio between
the heat flow, Q, per unit area, A, across the interface to the temperature discontinuity, ∆T,

Q
G= . (2.33)
A ∆T

The thermal boundary resistance (TBR) is defined as 1/G. There are two analytical models com-
monly used to estimate the thermal boundary resistance between solids: the acoustic mismatch
model (AMM) and diffuse mismatch model (DMM) which will be discussed in detail [56].
A phonon incident to an interface can either be transmitted across it or not. The probability
of transmission is defined as α and will depend on the wavevector and polarisation of the phonon
as well as the temperature. For simplicity, only scenarios where both materials have isotropic
thermal properties and where the probability of transmission is temperature independent will
be considered. This allows the definition of α as a function of phonon frequency, ω; the angle
of incidence of the phonon to the interface, θ ; and the phonon mode, j. Neglecting temperature
dependence is equivalent to neglecting the presence of other phonons meaning anharmonic
interactions are not considered.
In the AMM, continuum acoustics is assumed meaning phonons are treated as plane waves,
the material they propagate through is a continuum, and the interface is a plane. This assumption
has validity where the wavelength of the phonon is considerably larger than the interatomic
spacing. The result of this assumption is that there are very few possible outcomes when a phonon
is incident to the interface; it can specularly reflect, specularly reflect and mode convert, refract,
and refract and mode convert. The transmission probability is then defined as the proportion of
the total energy incident on the interface which transmits across it. The probabilities of reflection
or refraction are calculated by the acoustic analogue of Snell’s law. For a longitudinal phonon in
material one incident at the angle θ in which transmits into material two the angle of transmission

23
CHAPTER 2. HEAT AND PHONON THEORY

θ tran can be calculated using the following:

cM
l
1
sin θ tran = sin θ in (2.34)
cM
l
2

where c Mn
l
is the speed of the longitudinal phonon in material n. The same relationship holds for
transverse phonons replacing c l with c t . The transmitted angle cannot exceed 90◦ meaning for
incident angles where sin θ in is equal to the ratio of c M 1 /c M 2 , the probability of transmission is
zero. This angle is the critical angle and the range of angles less than the largest critical angle
is the critical cone. It is important to note that if the speed of the phonon in material one is
higher than in material two there is no critical angle and phonons at any angle of incidence will
have some probability of transmitting. For a phonon which is transmitted from material one
to material two with the incident angle θ in and transmission angle θ tran with probability α, it
follows from the principle of detailed balance that a phonon in material two with incident angle
θ tran and transmission angle θ in will also have a transmission probability of α.
As a result of the assumption of continuum mechanics, transmission probabilities can be
calculated using analogues of the Fresnel equations. The boundary conditions applied to calculate
the transmission probability mean that the possibilities of inelastic or elastic scattering of
phonons at the interface are neglected. A simple picture derivable for the AMM is to ascribe
each material, n, an acoustic impedance, Z n = ρ n c n (where ρ and c are density and phonon
velocity respectively). The transmission probability from side n = 1 to side n = 2 can be treated as
analogous to a junction in a transmission line. For a phonon with normal incidence,

4Z2 Z1
α1→
−2= . (2.35)
(Z1 + Z2 )2

Assuming the solids are isotropic Debye solids with different longitudinal and transverse
i
speeds then, for frequencies below the Debye cut-off frequency, ωD
i
1X
Z ωD dN1, j (ω, T)
TBR = c 1, j Γ1, j ℏω dω (2.36)
2 j 0 dT

R π/2
where Γ1, j = 0 α1→
− 2 (θ , j) cos θ sin θ d θ is the averaged transmission coefficient, and N1, j is the
density of phonons of mode j in material one at temperature T assuming the Debye approximation.
At low temperatures, the upper limit of the integral can be approximated as infinity and TBR can
be approximated as ( 14 Ccα)−1 where C is the Debye heat capacity, c is the Debye phonon velocity,
and α is the appropriately averaged transmission probability.
In this analysis, phonon dispersion and elastic anisotropy are ignored although it is possible
to expand the analysis to take into account phonon dispersion as well as using measured or
calculated phonon density of states and velocities. One major assumption in this model is that
no scattering occurs at the interface. However, for real solid-solid interfaces, it is known that
small features on the interface can scatter high frequency (short wavelength) phonons. These

24
2.2. THERMAL CONDUCTIVITY

scattering events result in new paths for phonon transmission and can reduce the TBR. The
AMM tends to be more valid in the low temperature regime where only long wavelength phonons
are excited which do not scatter from small features on the interface.
The DMM is the alternative viewpoint to the AMM, where all phonons incident to the interface
are assumed to diffusely scatter. This gives an upper limit for the effect that diffuse scattering can
have on TBR. The diffuse scattering is assumed to destroy all acoustic correlations at the interface
so that only the overlap of phonon density of states and the principle of detailed balance effect
the transmission probability. When a phonon is incident to the interface there are two options:
scatter into material two or backscatter into material one. The probability of this occurring is
determined by the relative density of states into which the phonon can scatter into. For most
solids, the acoustic properties and the phonon density of states are similar so the AMM and DMM
predict similar TBRs. However, for materials with significantly different acoustic properties, such
as lead and diamond (lead is significantly more dense than diamond), the DMM reduces TBR
as the scattering opens up new routes for heat to propagate across the interface. However, for
materials which are acoustically similar, diffuse scattering will increase TBR.
Quantitatively, only the transmission probability need be altered for the DMM compared
to the AMM. The definition of diffuse scattering is, that after scattering, the wavevector and
mode are completely independent of starting wavevector and mode. For simplicity, it is assumed
that all scattering is elastic. Whilst these assumptions are quite limiting, the DMM is useful to
provide limits of TBR between two materials. As the transmission probability is independent of
the angle of incidence and using the principle of detailed balance, the solution of transmission
probability is
j c 3− i, j N3− i, j (ω, T)
P
α i (ω) = . (2.37)
i, j c i, j N i, j (ω, T)
P

Using the Debye approximation this is simplified to give


P −2
j c 3− i, j
α i (ω) = P −2
. (2.38)
i, j c i, j

For the DMM, the averaged transmission probability is given by


P −2 P −2
π/2 j c 3− i, j 1 j c 3− i, j
Z
Γ i, j = −2
cos θ sin θ d θ = P . (2.39)
2 i, j c−i,2j
P
0 i, j c i, j

The TBR can then be calculated by substituting this value of Γ i, j into Eq. 2.36.
Whilst these two models can be useful for making semi-quantitative comparisons, there
simplicity limits how accurate the calculated values can be. A significant body of work has
shown how the simple Debye approximation for the phonon density of states leads to inaccurate
calculations of the TBR when compared to experimental measurements [57–59]. The accuracy
can be improved by using the accurate phonon density of states although the experimentally
examined interfaces must be carefully prepared to be atomically sharp and free of defects. Studies

25
CHAPTER 2. HEAT AND PHONON THEORY

of metal/Al2 O3 interfaces prepared in this way indicate that elastic phonon-phonon scattering
dominates thermal transport across the interface [57, 58]. Non-idealities at the interface can
increase or reduce TBR. For instance, intermixing at interfaces can produce localised vibrational
modes at the interface which can aid diffuse, elastic scattering of phonons across the interface
[59, 60], improving the thermal transport. However, such defects can also reduce the specular
transmission of phonons across the interface which can increase the thermal boundary resistance.
In a similar vein, interfacial materials can aid diffuse scattering of phonons. Crystalline materials
can provide additional pathways for elastic phonon-phonon scattering across the interface if its
phonon density of states bridges the gap between the two starting materials [59]. Amorphous
materials can also play a similar role, providing additional diffuse scattering pathways and, in
the case of metal-semiconductor interfaces, improved electron-phonon coupling [61].

26
HAPTER
3
C
M ATERIALS AND D EVICES

his chapter provides detailed background on the fundamental properties of the materials

T and material systems investigated in this thesis. Background is provided on the material
properties and growth methods for GaN, diamond and SiC. For GaN, this is section is
focused on its application for radio-frequency and power devices and the growth strategies used
for the required structures. In the case of diamond and SiC, the discussion is centred on their
thermal properties and growth methods. The integration of diamond with GaN is the main focus
of this thesis with chapters 5, 6, and 7 all centred on this hybrid material. For this reason, an in
depth review is given of the state-of-the-art of different fabrication strategies for manufacture
of GaN-on-diamond. Finally, the fabrication and application of silicon-on-insulator material is
introduced, with a focus on high power applications. This is relevant to the work described
in chapter 8, a project centred on Si-on-SiC as an alternative material system for high power
silicon-on-insulator devices.

3.1 The Material and Device Properties of GaN

In this section, the basic properties of GaN and the operating principles of a GaN based high
electron mobility transistor (HEMT) are reviewed. Finally, the methods for growth and synthesis
of GaN as well as GaN/AlGaN transistors are discussed.
Gallium nitride is a wide bandgap, III-V compound semiconductor. It exists in two phases:
the thermodynamically stable wurtzite phase, also known as hexagonal GaN, and the meta-
stable zincblende or cubic GaN. Whilst interest has grown in the properties of cubic GaN, most
research, and the work contained here, has focused on the more stable hexagonal phase [62].
The unit cell of an ideal wurtzite crystal is shown in Fig. 3.1(a) whilst the unit cell of hexagonal

27
CHAPTER 3. MATERIALS AND DEVICES

GaN (and AlN or InN) is shown in Fig. 3.1(b). The unit cell of GaN is characterised by lattice
parameters of a = 3.2 Å and c = 5.2 Å [63] and the Ga-N bonds are highly ionic, resulting from
the large electronegativity difference between the two atoms [64]. Notice the slight distortion of
the wurtzite crystal for III-nitrides in the c-direction. This distortion, combined with the ionic
nature of the III-N bond, results in a spontaneous dipole, µ0 , along the c axis of the crystal which
would not be present in a perfect tetrahedron (Fig. 3.1(b)) [64]. As a result of the highly ionic
bonds, the spontaneous polarisation is large compared to other similar crystals, particularly for
AlN [65]. This results in an in-built electric field within the crystal, directed along the c-axis.
Additionally, the lack of centrosymmetry in the wurtzite structure results in III-N materials being
piezoelectric, a property which occurs in crystals when an applied stress changes the electric
polarisation [46]. For III-N materials, the piezoelectric constants are very high when compared to
other III-V wurtzite crystals, a result of the highly ionic bonds [65]. This means a small stress
generates a large change in electric polarisation, allowing for manipulation of the electric field
within crystals by strain engineering [66].

Table 3.1: Bulk properties of selected semiconductors used or proposed for use in power devices
at room temperature.
Break- Thermal
Saturation Elastic
Band 2 down Conduc-
Mobility (cm Velocity Modu-
GaP Field tivity
V-1 s-1 ) (×107 lus
(eV) (MV (W m-1
cm s-1 ) (GPa)‡
cm-1 ) K-1 )
Electrons Holes
Si 1.1 1350 450 1.0 0.3 149 160
4H-SiC 3.26 900 120 2.0 3.0 390/490* 410
GaAs 1.42 8500 400 1.0 0.4 55 86
InP 1.3 5400 200 0.68 0.5 68 61
1200/ 130-
GaN 3.4 200 2.5 3.3 208
2000† 160**
AlN 6.2 300 14 1.9 16.5 285 308
Single
Crystal 5.5 2200 1800 2.7 5.6 2000 1005
Diamond
‡Direction averaged; *cross-plane/in-plane; †Bulk/2DEG;
**Dependent on dislocation density. Values based on refs [8, 9, 24, 26, 67–69].

Interest in GaN for high-power electronics arises for a number of reasons. First, its wide
bandgap of 3.4 eV and high breakdown field (> 5 ×106 V s-1 ) means it is intrinsically better
suited to handling large voltages and currents than narrower bandgap materials such as Si
and GaAs (see Table 3.1) [70]. Second, the capacity to alloy GaN with other III-N materials
such as AlN and InN allows for bandgap engineering from 0.7 eV (InN) [71] up to 6.2 eV (AlN)
[62]. Epitaxial growth of AlGaN on GaN allows for formation of a two-dimensional electron

28
3.1. THE MATERIAL AND DEVICE PROPERTIES OF GAN

gas (2DEG) at the heterointerface, a result of the bandgap offset, the spontaneous polarisation
present within the crystals, as well as piezoelectric polarisation which arises from stresses
generated by lattice mismatch [7]. The 2DEG has very high electron mobility and saturation
velocity when compared to competing wide bandgap semiconductors such as SiC (see Table 3.1)
which lead to devices with lower on-resistances and higher switching speeds. Whilst materials
such as GaAs and InP may have larger electron mobility and electron saturation velocity, neither
can match the high breakdown field of GaN [7]. Hence, GaN HEMTs are the devices of choice
for high-power amplifiers in the X-band and above, enabling next generation communications.
Theoretically, single crystal diamond would be an ideal material for power and radio-frequency
devices. However, limitations in doping and large area growth prevent its use in commercial
settings [70].

(a) a (b)

c μ0
Ga/Al/In
N

F IGURE 3.1. (a) The unit cell of an ideal wurtzite crystal with the lattice parameters a
and c marked; (b) the unit cell of III-nitride wurtzite crystals with the direction
of the dipole formed as a result of their spontaneous polarisation, µ0 , indicated.
Reproduced with permission from [64].

The electronic properties of GaN can be understood in more detail by examining the first
Brillouin zone and the calculated electronic band structure shown in Fig. 3.2. The band structure
illustrates the direct 3.4 eV bandgap. The bandgap is direct as the valence band maximum and
the conduction band minimum are both at the gamma point. This property has made GaN an
attractive material for light emitting diodes (LEDs) as phonon assistance is not required for
transitions between the valence and conduction bands, resulting in more efficient LEDs [72, 73].
Inferences can also be made about the mobility of carriers in GaN based on the curvature of the
band diagram. The effective mass of the holes and electrons depends on the second derivative of
the valence band and conduction band respectively. This indicates that electrons are significantly
more mobile than holes in bulk GaN and experimental evidence suggests a hole mobility of 170
cm2 V-1 s-1 and an electron mobility of 1200 cm2 V-1 s-1 [74]. Whilst these are not low values
when compared to to other wide bandgap semiconductors (see Table3.1), the true advantage of
GaN based devices results from the 2DEG which will be described later in this chapter.
The mechanical properties of GaN are very important when considering heterogeneous

29
CHAPTER 3. MATERIALS AND DEVICES

F IGURE 3.2. (a) the first Brillouin zone for wurtzite crystals and (b) the electronic band
structure of GaN calculated by the empirical pseudopotential method. Reproduced
with permission from [75].

integration. Offsets in the coefficient of thermal expansion (CTE) between the semiconductor and

30
3.1. THE MATERIAL AND DEVICE PROPERTIES OF GAN

the heatsink could lead to significant stresses at the interface and fracture. Hence, considering
the CTE as well as the mechanical strength and stiffness of a material is of vital importance. The
thermal expansion of GaN is anisotropic, varying in the c and a directions. In the a direction it is
4×10−6 K-1 whilst in the c direction it is 3.5×10− 6 K-1 at room temperature in bulk GaN [63]; the
temperature dependence is shown in Fig. 3.3. Its direction averaged Young’s modulus is 208 GPa,
less stiff than AlN but considerably more stiff than other compound semiconductors as shown in
Table 3.1 [76]. Integration of stiff materials can be challenging as they will be less able to deform
to match the second material resulting in increased strain and a higher likelihood of cracking.

F IGURE 3.3. Coefficient of thermal expansion coefficients of GaN [63], diamond [77], Si
[78], and AlN [79].

The phonon dispersion of GaN is shown in Fig. 3.4 and shows that GaN has a 8 phonon modes
at the Γ point with a density of states at reasonably high frequencies although this is much lower
than diamond or SiC (see chapter 3.2 and 3.4). As discussed in chapter 2, the properties of the
phonon dispersion relation are important for determining the thermal conductivity whilst the
overlap of phonon-DOS between materials determines the thermal boundary resistance between
them. The thermal conductivity of GaN has been found to be hugely dependent on the material
quality. Theoretical calculations predict that a perfect GaN crystal at 300 K will have a maximum
thermal conductivity in the c-direction of around 180 W m-1 K-1 [80]. However, the thermal
conductivity of real GaN samples diverges significantly from this, consistently being measured
at < 160 W m-1 K-1 at room temperature. This discrepancy is thought to arise from dislocation
defects and impurities in a real GaN crystal which act as phonon scattering centres, limiting the

31
CHAPTER 3. MATERIALS AND DEVICES

mean free path of the phonons. Zou et al. have shown that as the dislocation density exceeds
1010 cm-2 , the GaN thermal conductivity at room temperature begins to decrease, shown in Fig.
3.5 [9]. At dislocation densities as high as 1013 , the GaN thermal conductivity can become as
low as 30 W m-1 K-1 . This dependency explains the divergence from experimental values and
calculated ones as real GaN is never dislocation free. Whilst these values are not low compared to
many semiconductors (see Table 3.1) it is considerably lower than SiC, a competing material for
high power applications. Thermal management is therefore a much more important consideration
for GaN than SiC [25].

F IGURE 3.4. Phonon dispersion relation and phonon density of states of GaN calculated
using density functional theory. Reproduced with permission from Davydov et al.
[81].

3.1.1 Operating principles of a GaN HEMT

Gallium nitride HEMTs exploit the possibility to grow abrupt interfaces between GaN and
AlGaN to produce a device with an electron mobility which is higher than could be achieved for
doped GaN. These devices exploit the formation of a 2DEG within the GaN at or just below the
heterojunction. The origin of the 2DEG is centred on the spontaneous dipoles in GaN and AlGaN
and the resulting electric fields within the crystals. These devices are typically grown with the
c-face as the growth face. This can either be Ga-polar (with a positive polarisation) or N-polar
(negative polarisation) depending on the growth conditions employed.
For more common Ga-polar devices, the spontaneous polarisation and electric fields point
towards the substrate (Fig. 3.2). In addition to the spontaneous polarisation, a piezoelectric
polarisation occurs when integrating GaN/AlGaN which arises from the strain induced by the
lattice mismatch. Typically AlGaN grown on GaN is held under biaxial tensile strain (a result

32
3.1. THE MATERIAL AND DEVICE PROPERTIES OF GAN

F IGURE 3.5. Dependence of GaN room temperature thermal conductivity on dislocation


density using (a) two sets of material parameters and a fixed impurity profile
and (b) a fixed set of material parameters and two different impurity profiles.
Reproduced with permission from Zou et al. [9].

of AlGaN’s large lattice constant) which results in a piezoelectric polarisation reinforcing the
spontaneous polarisation [66]. The formation of the 2DEG can be understood in a simplistic
fashion by considering a semi-infinite GaN substrate with an undoped AlGaN barrier layer on top.
The barrier layer has donor surface states (states which are neutral when filled and positively
charged when ionised) which pin the AlGaN barrier Fermi level at their energy level. In this
scenario, the net polarisation, P NE , of the AlGaN/GaN layer is given by the equation,

∆P NE = PSP,AlGaN + PP Z,AlGaN − PSP,GaN , (3.1)

where the subscripts indicate spontaneous (SP) or piezoelectric (P Z) polarisation and the mate-
rial they originate from.
The polarisation combined with the offset bandgap results in band bending across the inter-
face. For a 2DEG to form at the interface in the GaN, another region must become positively
charged by the same magnitude to preserve net neutrality. In this case, it is the AlGaN surface
states which become ionised and donate electrons into the 2DEG. However, this can only occur
when the AlGaN barrier reaches a certain critical thickness. If it is too thin, the Fermi level will
be above the donor states leaving them filled and neutral, as shown in Fig. 3.6(a) [82]. However,
as there is a constant electric field across the AlGaN as a result of the polarisation and bandgap
off-set, as the layer gets thicker, the Fermi level will drop. In addition, the thicker AlGaN barrier
layer will result in increased piezoelectric polarisation. At a critical thickness, the Fermi level
reaches the donor energy level, resulting in ionisation and the formation of the 2DEG in the
potential well as the interface arising from the polarisations, shown in Fig. 3.6(b). Evidently,

33
CHAPTER 3. MATERIALS AND DEVICES

passivation of the AlGaN barrier is an important aspect of device design to prevent charge
injection into the surface states and changes in the band structure during device operation. This
is typically achieved with SiNx and GaN cap layers. The high electron mobility of GaN HEMTs
arise from the 2DEG where free-carriers are present in intrinsic material. The lack of dopants
reduces impurity scattering of electrons, resulting in unipolar devices with very high mobility.
This combination results in devices ideally suited to high-power, high-frequency operation such
as power amplifiers for mobile communications [83].

Energy
AlGaN
(a) PSP,AlGaN PPZ,AlGaN (b)
t<tCR
PSP,GaN PPZ,GaN
Surface
GaN Donors

EF
AlGaN 2DEG
(c) PSP,AlGaN PPZ,AlGaN (d)
t>tCR
PSP,GaN PPZ,GaN
Partially
GaN Filled
Surface
Donors
EF
F IGURE 3.6. Schematics of an idealised Ga-polar AlGaN/GaN heterostructure with
surface donors states on the AlGaN. (a) and (b) show a structure where the AlGaN
layer is thinner than the critical thickness for 2DEG formation and the associated
band diagram; (c) and (d) shows a structure where it exceeds the critical thickness
and demonstrates that the 2DEG forms by larger net polarisation resulting in
band-bending, raising of the Fermi-level and ionisation of the surface donor states.

Nitrogen polar GaN is a material of much interest. It is a more challenging orientation to


grow as initial experiments frequently resulted in very rough surfaces, made up of hexagonal
hillocks. However, recent advancements in growth technology, discussed in section 3.1.2, have
facilitated research into N-polar devices. These have shown that the change in orientation of
the spontaneous and piezoelectric polarisation results in a number of beneficial properties over
Ga-polar counterparts [84]. The benefits all stem from the 2DEG forming above the AlGaN
barrier as shown in Fig. 3.7. This results in improved confinement of the 2DEG compared to
Ga-polar devices as the wide-bandgap AlGaN prevents the electron wavefunction delocalising
away from the gate, improving off-state characteristics of the device and pinch-off. This enables

34
3.1. THE MATERIAL AND DEVICE PROPERTIES OF GAN

F IGURE 3.7. The equilibrium band diagrams of generic Ga-polar (a) and N-polar (b)
AlGaN/GaN heterostructures. Reproduced with permission from Wong et al. [84].

increased efficiency in switching, allowing for higher switching frequencies than Ga-polar devices.
The changed structure facilitates the formation of low resistance Ohmic contacts when using
selective-area regrowth. This is a process when the barrier layer is selectively etched away
and heavily doped GaN is regrown in these regions. As the GaN has a lower bandgap than
the AlGaN, the N-polar configuration leads to a smaller barrier between the contacts and the
2DEG. In addition, N-polar devices exhibit lower gate capacitance due to the smaller distance
between the gate electrode and the 2DEG. All of these factors make N-polar GaN advantageous
for high-frequency applications.
Gallium nitride HEMTs suffer from severely localised Joule self-heating, the magnitude of
which is given by the scalar product of the electric field and current density within the device
channel. Electric field measurements have revealed an inhomogeneous electric field distribution
within the channel which peaks at the drain edge of the gate electrode [85]. This kind of electric
field distribution arises from the small gate widths used in GaN HEMTs, required for high
switching frequencies. Typical MOSFETs have a more uniform electric field distribution as
the gate width is much larger in comparison to the channel width. The electric field in GaN
HEMTs, combined with the relatively efficient heat extraction by the GaN, results in a peak
temperature which is much higher than the average channel temperature, shown in Fig. 3.9 [86].
Measurements of the temperature distribution by Raman thermography have shown that the
peak temperature rise during operation occurs at the drain edge of the gate and extends only a
few 100s nm laterally away from the gate shown in Fig. 3.9 [87]. Not only does temperature peak
here but the high electric field also introduces significant local strain due to the piezoelectric
nature of GaN. Both of these factors combine to make it a highly likely point for degradation to
begin in the device [25]. It is for this reason that near junction thermal management is a key
consideration for high-power GaN devices.

35
CHAPTER 3. MATERIALS AND DEVICES

(a) Passivation (b) Passivation


Source Gate Drain Source Gate Drain
AlGaN
AlGaN
UID-GaN
2DEG UID-GaN
Nucleation Layer Nucleation Layer
Substrate Substrate

Ga-Polar N-Polar
F IGURE 3.8. Schematic diagram of standard epitaxy and 2DEG location for Ga-polar
GaN/AlGaN HEMTs (a) and N-polar devices (b).

3.1.2 GaN Growth Strategies

F IGURE 3.9. Simulation results of the lateral heating profile at the GaN/AlGaN inter-
face in a GaN-on-SiC HEMT. Red lines indicate the average temperature along a
radio-frequency (RF) load line at drain voltages of 30 V (bottom) and 100 V (top).
The blue lines indicate the temperature profile at a single point on this load line
(DC operation) with equivalent power dissipation to the average power dissipation
along the load line, a common method to carry out accelerated lifetime tests of RF
devices. Marked in grey are the position of the electrodes whilst the dotted lines
signify the position of features used to manage the electric field distribution within
the HEMT. Reproduced with permission from Pomeroy et al. [86].

36
3.1. THE MATERIAL AND DEVICE PROPERTIES OF GAN

Gallium nitride growth strategies can be divided into two major categories: bulk (or substrate)
GaN growth and thin film growth. Thin film growth methods are typically employed for growth
of device structures and bulk growth is of interest in the production of substrates for this growth.
Homoepitaxy is, theoretically, a simpler route to producing high quality GaN films for electronic
devices, removing a number of issues which result from mismatches in material properties when
using non-native growth substrates [88]. One of the main concerns when producing GaN is
minimising the number of defects present in the structure, particularly dislocations. Dislocations
are defects which describe a line imperfection through the crystal [48]. These defects arise as
a result of ‘slip’, the plastic deformation of a crystal which results in a region sliding as a unit
across another region. Around dislocations the crystal is very strained, needing to accommodate
the imperfection in the crystal structure. The Burgers vector is used to describe the magnitude
and direction of the lattice distortion. When tracing a square around the centre of the dislocation,
the circuit does not return to its starting point by the magnitude and direction of the Burgers
vector. This is shown in Fig. 3.10 for an edge and screw dislocation. If two dislocations with
opposite Burger’s vectors meet they will annihilate. Threading dislocations, which are frequently
encountered in GaN, are dislocations which penetrate through multiple layers in strained,
multi-layer systems (such as GaN HEMTs). These dislocations can pose issues for the electrical
behaviour of GaN HEMTs as they act as conductive leakage paths through nominally insulating
layers in the HEMT structure [85, 89, 90].

Whilst homoepitaxy theoretically offers the opportunity of producing GaN with low defect
densities it is limited by the lack of methods for producing large area bulk GaN substrates. Unlike
Si, it is not possible to produce GaN from cost effective melt growth. As a result of the high
covalency of GaN, at temperatures exceeding its melting point of (∼ 2500°C) the decomposition
pressure is 4.5 GPa, meaning at pressures < 4.5 GPa GaN does not melt [92]. Instead gas or
solution phase growth is required which is technologically challenging and expensive [88, 92].
Solution based methods include high pressure nitrogen solution growth, the Na flux method, and
ammonothermal crystal growth. However, the most developed method is in the gas phase: halide
vapour phase epitaxy (HVPE).

This method combines high growth rates with good crystal quality [88, 93, 94]. Gaseous HCl
flows over metallic Ga at 850-1000°C where it reacts to form gaseous GaCl. This reacts with
ammonia to deposit GaN on a seed crystal. This could be a GaN seed but these are limited in
area and are expensive. Instead, non-native substrates such as sapphire (single crystal Al2 O3 ) or
GaAs, which are available in large areas and are relatively cheap, can be used. These substrates
are then removed to leave a free-standing GaN film using methods such as chemical etching or
laser lift-off. The use of a non-native substrate results in defective crystals with a high number
of threading dislocations arising from the mismatched lattice constants and CTE mismatch. To
reduce these, a variety of different techniques are used such as masking the substrate so GaN
growth only occurs in a small region of the substrate, a technique known as epitaxial lateral

37
CHAPTER 3. MATERIALS AND DEVICES

F IGURE 3.10. (a) shows the schematic of a screw dislocation with the Burgers vector
marked in yellow; (b) shows the schematic of an edge dislocation, reproduced with
permission from Taira et al. [91].

overgrowth. As growth progresses, the GaN grows laterally away from the slit causing bending of
the dislocations and elimination. A SmartCutTM process (see chapter 3.5) can then be used to
remove the top layer of material which has a low dislocation density. This involves using a proton
beam to create a layer of subsurface damage in the GaN. Annealing can then be used to crack
the GaN along this plane, releasing the top layer. This free-standing film can then be used as
a seed for further HVPE, reducing the density of dislocations. In this manner, it is possible to
produce bulk GaN crystals with a very low defect density. However, the thickness is limited as
crystals grown in this way are curved. If the radius of curvature is too high, it will not be possible
to use these crystals, or GaN thin films grown epitaxially on them, in conventional lithographic
processes for device fabrication [93, 95].
Bulk growth methods are an important aspect of GaN technologies. However, GaN devices
are typically fabricated on GaN and AlGaN thin films grown by molecular beam epitaxy (MBE) or
metal-organic chemical vapour deposition (MOCVD). As discussed earlier in this chapter, these
can be grown homoepitaxially on bulk GaN substrates, an area of research with much interest
for vertical power devices, but they can also be grown on non-native substrates such as sapphire,
Si, and SiC.
At a high level MOCVD involves gas phase metalorganic and nitrogen containing precursors

38
3.1. THE MATERIAL AND DEVICE PROPERTIES OF GAN

being introduced to a heated substrate. Here, they decompose and react with one another to form
a crystal film. The nature of this film is determined by the precursors used as well as their flow
rate, concentration in the reactor and substrate temperature. Commonly used organometallic
precursors are liquids such as trimethylaluminium, trimethylgallium, and triethylgallium or
solids such as trimethylindium. These precursors are heated within temperature-controlled
bubblers and the vapour is picked up by a carrier gas, typically hydrogen, which carries it to the
reactor chamber in which a heated substrate sits. The vapours are diluted with either H2 or N2
to prevent pyrolysis of the precursors before reaching the substrate. A nitrogen containing gas
is also introduced into the reactor, typically ammonia, which acts as the nitrogen source for the
III-N growth. The organometallic precursors reach the heated substrate where they decompose
into reactive species which, via a mixture of gas phase and surface reactions, form the III-N
film. By varying the ratio of the different precursors, it is possible to produced alloyed films
with carefully controlled atomic compositions. It is also possible to dope these films with Si (to
produce n-type GaN) by introducing silicon hydrides or with Mg (p-type) using the organometallic
precursor cyclopentadienylmagnesium.

To produce III-N films which are homogeneous, it is important to ensure an even distribution
of reactants across the whole of the substrate. This is achieved by optimisation of the reactor
chamber. Two commonly used reactors are shown in Fig. 3.11. In both of these, a rotating sample
platter is used to aid the homogeneous distribution of reactants. However for the first, the wafer
plate spins quickly (≥ 1500 RPM) with the gas inlet several centimetres from the wafer. This
spinning creates a vortex, keeping the reactants gases close to the wafer. In the second, the
wafer spins more slowly but the gas inlet is much closer and made up of multiple holes for gas
introduction, giving the reactor its name: a ‘shower head’ reactor. This, combined with the small
distance to the wafer (< 1 cm) which prevents convention of the gases, leads to a homogeneous
distribution of reactants across the wafer.

Wafer
Wafer

F IGURE 3.11. Schematics of metal-organic chemical vapour deposition reactors for


III-nitride growth. (a) shows a high-speed vertical rotating style reactor; (b) shows
a closed space rotating disc shower-head style reactor. Reproduced with permission
from [96].

39
CHAPTER 3. MATERIALS AND DEVICES

The nature of these processes results in the inevitable inclusion of impurities. For instance,
the metal-organic precursors all contain organic groups. For methyl containing compounds, the
organic radicals are particularly reactive and result on the unintentional doping of the III-nitride
with C. This is an acceptor in GaN and makes growth of intrinsic GaN a difficult task. For this
reason, device design must account for the fact that the GaN buffer (underneath the GaN channel
and AlGaN barrier) will be p-type. This buffer is typically referred to as unintentionally doped
(UID) GaN. Oxygen impurities are also generated from reaction of the precursors with the quartz
side walls of the reactor and the hydroxide radical which is present in the reactor as a result of
water [64].

Growth of GaN typically uses non-native substrates either sapphire, Si, or SiC [64, 73].
Growth of GaN heterostructures on sapphire is the most common method for production of
HEMTs and LEDs. The main attraction of sapphire substrates is that they can be produced
with high purity at low cost from melt growth. However, sapphire’s material properties are
quite poorly suited to act as a substrate for GaN growth. It has very large lattice and CTE
mismatches with GaN, 13.9% and 25.3% respectively. Typical Ga-polar GaN grown directly on
the c-plane of sapphire has very poor crystal quality as a result. The difference in surface energy
encourages three-dimensional island growth of GaN rather than the desired two-dimensional
step-flow growth, required for high crystal quality. Device worthy GaN was only possible with
the development of nitridation of the sapphire substrate and the introduction AlN nucleation
layers. The chemistry of the sapphire substrate is changed by annealing in ammonia at over
800°C causing the formation of a thin AlN nucleation layer on the surface. This acts to reduce
the lattice mismatch with GaN as well as modifying the surface energy to encourage step-flow
growth of GaN. Following this, a quasi-amorphous buffer layer of either GaN or AlN is deposited
at 500-800°C before a high temperature anneal at ≥1000°C to encourage recrystallisation. The
exact growth conditions (e.g. precursor flow rate and substrate temperature) are important for
optimising these steps to produce GaN with the minimal number of defects and dislocations. The
GaN nuclei are initially formed with a high density and the growth conditions are selected to
encourage 2-D growth.

The polarity of the resulting GaN is dependent on the chemistry of the AlN nucleation layer
and GaN buffer layer. Song et al. have shown that the growth temperature of the AlN buffer layer
is major factor in controlling the polarity of the subsequent GaN films [97]. For buffer layers
grown at low temperatures ∼500°C the GaN film was entirely Ga-polar whereas at > 850°C, the
GaN becomes entirely N-polar. In addition, a lower concentration of ammonia precursor was
required to produce N-polar films with a smooth surface morphology. Films grown without these
conditions give a very rough surface made up of hexagonal hillocks (Fig. 3.12(a-b)). Theoretical
studies have shown that the adsorption strength of Ga and N species on the N-polar surface
is much higher than on the Ga-polar surface, resulting in reduced surface mobility [98]. By
increasing the growth temperature and reducing the concentration of nitrogen precursor, the

40
3.1. THE MATERIAL AND DEVICE PROPERTIES OF GAN

surface mobility can be increased, reducing island growth and encouraging step-flow growth [99].
However, this was not enough to completely remove the hillocks and miscut sapphire substrates
were required [99]. The top side of these substrates is not aligned to a particular crystallographic
orientation, resulting in step edges between planes of atoms. These step edges have increased
adsorption energies due to the increased number of interactions when compared to the planar
surface. They therefore preferentially act as nucleation centres for GaN over the formation
of hillocks on the terraces between the edges. If the density of the step edges is high enough
(determined by the degree of miscut), it can prevent the formation of the hillocks and results in
smooth, step flow growth, shown in Fig. 3.12(e-f).

F IGURE 3.12. Variation in surface morphology of N-polar GaN on sapphire as a function


of sapphire miscut in relation to the a and m planes. With a low degree of miscut, a
large density of hexagonal hillocks form on the GaN terraces. A high miscut angle
results in much smoother surfaces. Reproduced with permission from Keller et al.
[99].

41
CHAPTER 3. MATERIALS AND DEVICES

As mentioned above, sapphire has a number of unfavourable properties in terms of CTE


and lattice mismatch. It also has a very low thermal conductivity of ∼30 W m-1 K-1 [23] which
is problematic for thermal management of devices. Silicon carbide is a much more attractive
substrate for GaN growth. Both 4H- and 6H- polytypes have the same wurtzite crystal structure
as GaN with more similar lattice coefficients compared to sapphire, -3.5% and -3.2% mismatch
respectively, whilst both materials have a high thermal conductivity on the order of 300 −
400 W m-1 K-1 [67]. However, SiC substrates cannot be produced from melt growth and are
instead grown by vapour phase methods (see chapter 3.4) making them more expensive than
sapphire. For this reason, SiC substrates are only used for devices where thermal management
is paramount, for instance high-power, high-frequency power amplifiers where devices are
frequently in their on-state with a large current flowing [25, 64]. Before MOCVD growth, it
is necessary to intensively prepare the SiC surfaces to remove polishing scratches as well as
surface steps. The surfaces must be treated with chemo-mechanical polishing as well as exposed
to hydrogen gas at temperatures of around 1400-1700°C to preferentially remove steps on the
SiC surface, giving an atomically smooth surface [64]. Growth of GaN directly on SiC is difficult
and frequently produces columnar-like grains. Typically, GaN is grown on thin intermediate
buffer layer of either AlN or AlGaN. AlN has a very low lattice mismatch with both 6H- and 4H-
SiC which makes it the ideal candidate for a buffer layer. Low temperature growth of the AlN
buffer (< 950°C) layer results in rough AlN and GaN layers whereas deposition at temperatures
in excess of 1100°C give GaN with smooth surfaces. However, dislocation densities increase at
higher temperatures so a compromise must be made between low surface roughness and low
dislocation densities. A thick GaN buffer layer is typically used to improve crystal quality before
growth of the device layers, increasing the chance for dislocations to annihilate. Typically, GaN
grown on SiC has low dislocation density compared to alternative substrates as shown in Table
3.2.

Table 3.2: Typical dislocation density of GaN grown on different substrates with varying degrees
of lattice mismatch
Substrate Dislocation Density (×108 cm-2 ) Percentage Lattice Mismatch
Sapphire 8.6 16
4H-SiC 3.96 -3.5
Si 8.2 17
Values based on refs [64, 67, 100, 101].

The polarity of the resulting GaN films grown on SiC depends significantly on the polarity of
the SiC face, the growth temperature, and precursor ratios. There is preferential nucleation of Ga-
polar on the Si face whilst N-polar GaN will nucleate on the C-face. Similar to growth on sapphire,
the N-polar face has increased roughness due to increased adsorption energy of adatoms. This
can be overcome using the same techniques as for sapphire: high growth temperatures (> 1100°C)
and the use of miscut SiC substrates to encourage step-flow growth over island nucleation [102].

42
3.1. THE MATERIAL AND DEVICE PROPERTIES OF GAN

Finally, Si substrates are very attractive material for GaN growth owing to the possibility of
complementary integration of GaN with Si based electronics and the availability and low cost
of large area wafers of high purity Si. However, growth of GaN on Si is non-trivial resulting
from the significant mismatch in crystal structure; silicon has a cubic, diamond lattice structure
which instantly introduces issues in the epitaxial growth of hexagonal III-nitrides. The commonly
used Si (111) crystal face has a lattice mismatch of 17%. Additionally, Si readily reacts with the
hydrogen atmosphere often used for MOCVD growth of III-nitrides which can cause roughening
of the interface, making nucleation more difficult. Finally, the CTE mismatch between GaN and
Si is very high at around 54% at room temperature (see Fig. 3.3) [73]. Hence, direct growth of
GaN on Si typically leads to a high density of dislocations in the GaN as well as micro-cracks
throughout the whole layer to alleviate strain [103].

To overcome these issues, buffer layers are required to help bridge the gap between the GaN
and Si CTEs and lattice constants. One tactic is to use an AlN/AlGaN/GaN superlattice, growing
multiple layers of varying Al composition to engineer the strain arising from the lattice and
CTE mismatch. In this way, it is possible to produce a GaN layer with a desired strain whilst
producing a flat wafer without cracking [104]. From a thermal perspective, these layers are very
detrimental. Often they are thick, > 1 µm, whilst the alloy scattering and multiple interfaces
results in them having a very low cross-plane thermal conductivity (< 10 W m-1 K-1 ) [105]. This
results in a very large thermal resistance between the GaN hot spot and the heatsink. Whilst this
is problematic for RF power amplifiers, they have attracted significant interest in terms of power
devices [106]. Thermal management is less of an issue for power devices which mainly operate
in the off-state, where no current flows and hence no Joule heating occurs. On the other hand,
radio-frequency devices mainly operate in the on-state, resulting in significantly more Joule
self-heating. Additionally, the capacity to produce high quality GaN films on cheap substrates
makes GaN produced on Si an attractive starting material for wafer bonding and SmartCutTM
processes [38, 107].

Molecular beam epitaxy is an ultra-high vacuum (UHV) process (< 1×10−7 Pa) and uses
molecular beams of thermal energy incident to a heated crystal substrate to produce crystalline
films. The UHV conditions are a necessity to prevent contaminants in the grown films. The
molecular beams are produced by evaporating or sublimating high purity materials in a crucible.
An aperture on these crucibles allows a beam of the evaporated material to hit a heated substrate.
The crystal structure is typically grown mono-layer by mono-layer by the incident molecular or
atomic species. By using multiple cells of different materials, it is possible to produce compound
semiconductors such as GaN. It is also possible to produce tertiary alloys, such as AlGaN, with
varying stoichiometries by altering the flux of molecules on the substrate surface. In this way, it
is possible to produce films with finely tuned atomic ratios, an important aspect of AlGaN/GaN
HEMT growth, with impurity levels as low as one impurity per million atoms, a result of the UHV
conditions. In a similar manner to MOCVD, growth on non-native substrates (such as sapphire

43
CHAPTER 3. MATERIALS AND DEVICES

and Si) is typically carried out using a multi-step process, initially depositing a thin AlN (or
GaN) nucleation layer to relieve strain originating from lattice mismatch. This can be followed by
the growth of thin layers of varying Alx Ga(1-x) N composition. These layers serve the purpose to
manage strain in the films originating from CTE mismatch upon cooling from substrate growth
temperatures of around 500°C. The GaN and AlGaN device layers are then grown. However,
MBE is not as well suited as MOCVD to mass-production owing to the UHV requirements. Hence,
the industry standard for production of III-nitrides in general is MOCVD [108, 109].

3.2 Diamond

Diamond is a remarkable material and has attracted research interests across the spectrum
of solid state physics and chemistry [110–113]. This section introduces diamond’s material
properties, the synthesis methods, and considerations for its use as a heatsink for electronics.
It is a carbon allotrope made up of sp 3 hybridised carbon atoms bonded in a tetrahedral array
[110]. It has the zincblende crystal structure and its unit cell is made up of two, interpenetrated
face centred cubic lattices meaning it has a two atom primitive unit cell shown in Fig. 3.13(a).
It has a lattice parameter reported between 3.5 and 4 Å [114, 115]. The C-C σ bonds are very
strong with a bond enthalpy of around 360 kJ mol-1 [116]. This is around 50 kJ mol-1 higher than
for Si which has similar chemical properties being only one place below C in group four in the
periodic table [117]. Its high bond strength and crystal structure are responsible for a number of
diamond’s remarkable properties including its high Young’s modulus (1220 GPa), high hardness,
and high thermal conductivity [110, 118].

(a) (b)

Two-atom
primitive basis
F IGURE 3.13. (a) Unit cell of cubic diamond with the lattice constant, a, and the two-
atom primitive basis cell marked; (b) associated first Brillouin zone for a face
centred cubic lattice with high symmetry directions marked. (b) reproduced with
permission from Setyawan et al. [119].
.

Diamond is a wide bandgap semiconductor with a bandgap of 5.5 eV and high electron

44
3.2. DIAMOND

(4500 cm2 V-1 s-1 ) and hole (3800 cm2 V-1 s-1 ) mobilities [7]. This, combined with it high break-
down field of 10 MV cm-1 has made diamond an attractive material for electronics [7]. However,
large scale growth of diamond is difficult and prohibitively expensive whilst electrical doping has
been challenging [7]. It is impossible to maintain the high carrier mobility with a high carrier
concentration. Dopants in diamond have high activation energies (0.37 eV for boron [120]) and a
high doping density is required to achieve necessary carrier concentration. This deleteriously
effects the mobility due to impurity scattering of the carriers by the dopants.
As a result of the two atom primitive cell, diamond has 3 optical and 3 acoustic phonon
branches (see chapter 2.1 for details of this relationship). Along certain high symmetry directions
in the first Brillouin zone (shown in Fig. 3.13(b)), the transverse phonon modes are doubly
degenerate and at the centre of the Brillouin zone, the optical phonons are triply degenerate at
1332 cm-1 as shown by the dispersion relation in Fig. 3.14. The high frequencies of the phonon
dispersion relation and high acoustic phonon group velocities are a result of its strong covalent
bonds. As shown in Eq. 2.26, the high phonon group velocity is part of the reason single crystal
diamond has a thermal conductivity in excess of 2000 W m-1 K-1 at room temperature [26]. In
addition, diamond has a long phonon mean free path, another key factor in determining thermal
conductivity (see Eq. 2.26). This is a result of a low probability of Umklapp scattering occurring,
resulting from its Debye temperature, the low atomic mass of C, and the high acoustic phonon
velocity (see Eq. 2.29).

F IGURE 3.14. Calculated diamond phonon dispersion relation and phonon density of
states. Reproduced with permission from Mounet et al. [121].

At ambient temperature and pressure, diamond is only a metastable form of carbon with the
thermodynamically favourable allotrope being graphite as shown in the phase diagram in Fig.
3.15. Diamond is only thermodynamically stable at high temperatures and pressures, conditions
found about 140-200 km below the Earth’s crust [122]. Although it is only metastable, the
structural difference between diamond and graphite results in a very large energy barrier between
the two allotropes [123]. This high activation barrier prevents diamond from spontaneously
converting to graphite and means in practicality, it is stable at ambient conditions.

45
CHAPTER 3. MATERIALS AND DEVICES

F IGURE 3.15. Carbon phase diagram based on the work of Bundy and Steinbeck et al.
[124, 125].

3.2.1 Diamond Growth Strategies

The first successful synthesis of diamond aimed to recreate the conditions where diamond is the
stable form of carbon. This is the high-pressure, high-temperature (HPHT) method and involves
the dissolution of carbon (often graphite or diamond powder) in a molten transition metal catalyst
(particularly Fe, Co, or Ni). The carbon source is loaded into a growth capsule with the solid
metal catalyst and a diamond seed crystal. The cell is heated to between 1300-1500°C by passing
a current through the capsule whilst pressure is applied using a hydraulic press to bring the
pressure to between 5-6 GPa [110]. This brings the conditions into the diamond and graphite
metastable region of the carbon phase diagram, shown as the yellow and black hatched area
of Fig. 3.15 above the black line. A temperature gradient is established within the cell with a
lower temperature near the diamond seed. This results in supersaturation of the metal solvent
with C near the seed and recrystallisation of C on the diamond seed. The metal solvent also acts
as a catalyst to encourage diamond growth and not graphite. The diamond crystals grown by
HPHT tend to be relatively small, on the order of a few hundred microns across (although larger
crystals can be grown by careful control of the growth conditions into the regions of millimetres)
and typically have a high concentration of nitrogen impurities. These result in the diamonds
having a yellow colour and are difficult to avoid as the process is carried out in air. The main use
of the diamond grits produced are for polishing and grinding although they can also be used for
seed crystals for alternative diamond growth methods [110].
Chemical vapour deposition (CVD) of diamond is an alternate route to synthesis. Rather than

46
3.2. DIAMOND

F IGURE 3.16. The Bachmann triangle diagram demonstrating reaction composition


required for diamond growth by chemical vapour deposition. Reproduced with
permission from May [126].
.

growing diamond in its thermodyanmically stable conditions, diamond is grown at low pressure
and uses hydrogen to preferentially grow diamond over the more stable graphite. The two main
methods for diamond CVD are hot filament (HFCVD) and microwave plasma assisted CVD
(MPCVD). At their core, these two techniques have a lot in common. A gaseous carbon precursor
(typically methane) is activated, thermally in the case of HFCVD and by the microwave plasma
in the case of MPCVD, to produce reactive, carbonaceous radicals in a low pressure environment
(∼ 1-33 kPa) [126]. These react with a heated growth substrate (600-1000°C) forming and adding
to the diamond lattice. Growth on a single crystal diamond substrate results in homoepitaxy
although, more commonly, growth is carried out on a non-native substrate (particularly Si) using
nano or micro diamond seed crystals. This results in the growth of polycrystalline diamond films
[126]. In these conditions diamond is not the thermodynamically stable form of carbon and, in
the method described above graphitic (sp2 ) carbon would form. In order for diamond to form,
hydrogen must be present and typical reaction mixtures are more than 95% hydrogen. The exact
ratio of gases used must be closely controlled as shown by the simplified Bachman diagram in Fig.
3.16. Hydrogen radicals play two very important roles in diamond CVD. First, they preferentially
react with sp2 carbon atoms on the diamond surface. This kinetic process prevents graphite
forming, leaving sp3 diamond on the surface. Second, the hydrogen radicals abstract surface
hydrogen atoms from the growing diamond lattice, creating dangling bonds on the surface which

47
CHAPTER 3. MATERIALS AND DEVICES

readily react with methyl radicals. A schematic of this process is shown in Fig. 3.17. A heated
substrate is important in this process as it allows adsorbed species to migrate to reaction sites.

F IGURE 3.17. The key reactions required for diamond growth by chemical vapour
deposition. First, at surface hydrogen atoms are abstracted by hydrogen radicals,
leaving a dangling bond on the diamond surface. A methyl reacts with this, adding
a carbon atom to the diamond lattice. This process is repeated to leave two methyl
groups adjacent on the diamond surface. A hydrogen radical then abstracts one
of the hydrogen atoms from one of the methyl groups which then reacts with
the adjacent methyl group to cross-link the carbon atoms, enlarging the diamond
lattice. Reproduced with permission from May [126].
.

The first method for CVD growth was HFCVD. These reactors use filaments of transition
metals which are electrically heated to around 2400°C and positioned around 3-10 mm above the
substrate as shown in Fig. 3.18(a). Gases flow over these filaments where they are cracked into
reactive species. These reactors are cheap and relatively simple to build and have the potential
for large area deposition i.e. 12 inches. However, they are limited; growth rate is only around 1

48
3.2. DIAMOND

µm per hour, it is impossible to introduce oxygen into the reactor as the filaments will quickly
burn out, and there is the possibility of contamination of the diamond film by the filament metal
[126].

a) b)

F IGURE 3.18. Schematics of a (a) hot filament chemical vapour deposition reactor for
diamond growth and (b) a microwave plasma assisted reactor. Growth substrates
are typically electrically grounded although it is possible to apply a bias to these to
allow for bias-enhanced nucleation. Reproduced with permission from May [126].
.

The most commonly used method for diamond CVD is MPCVD as a result of the high growth
rates achievable with very high microwave plasma power densities [126]. These high power
densities produce a significant number of radical species, increasing the rate of reaction, leading
to growth rates up to 150 µm per hour. Such high growth rates are infeasible for HFCVD as they
are limited by the temperature of the filaments used. The diamond films grown by MPCVD have
very few contaminants although, with the industry standard reactors, large area diamond growth
is not feasible. These reactors are much more expensive than the hot filament reactors.
As mentioned briefly above, CVD is commonly used for polycrystalline diamond growth.
Single crystal diamond can be grown on diamond seeds and there has been recent advances in
the heteroepitaxy of single crystal diamond [127]. However, single crystal diamond seeds are
expensive and not available in large areas whilst large area growth, with reasonable growth
rates, is still in development. Heteroepitaxy of single crystal diamond is an interesting route for
growth of large area diamond substrates as the starting wafer can be made arbitrarily large. The
limiting factor with this technique is that the materials required are prohibitively expensive
(e.g. iridium). Large area growth of single crystal diamond by CVD is rapidly developing area
of research although it is always likely to be expensive. Polycrystalline diamond can be easily
grown on a range of non-native substrates making it a much more cost effective material [126].
Substrates typically need to be able to form a carbide to allow for strong bonding between the
diamond film and substrate, preventing delamination of the diamond film. Additionally, they

49
CHAPTER 3. MATERIALS AND DEVICES

need to be resilient to the hydrogen atmosphere used during diamond growth as well as the
high temperatures. The CTE cannot be too dissimilar from diamond otherwise cracking and
delamination of the diamond film will occur on cooling [126]. Typical substrates are: Si, arising
from its low cost, and its ready formation of SiC; metals such as Ti, Mo,W; and non-metals such
as amorphous SiO2 , quartz, and Si3 N4 .

Growth of diamond directly on non-native substrates is impractical by these methods as nucle-


ation directly from the gas phase is very slow [126]. The growth rates are significantly enhanced if
the substrate is first seeded with a large number of diamond particulates, typically nanodiamonds
produced by a detonation reaction process. The microstructure of the near-nucleation diamond
can be greatly affected by the method of seeding. The simplest method of seeds is to manually
abrade the substrate surface with diamond grit, embedding diamond seeds into the surface and
creating scratches which can also act as nucleation centres. This gives a high nucleation density
but it is not very homogeneous and can also introduce damage in the substrate. Better processes
include: polymer assisted seeding where the substrate is dipped in a charged polymer which
electrostatically attracts the diamond seeds, causing self-assembly on the substrate surface;
electrospray seeding where a seed solution is held at a high potential bias relative to the sub-
strate causing the solution to spray towards the substrate and implant into the surface; and
zeta potential methods which act in a similar manner to the polymer assisted process except
the surface charge of the diamond seeds and the substrate are altered by changing their surface
chemistry, allowing for tuning of the seeding density [126, 128]. Bias-enhanced nucleation can
also be used to increase nucleation rates of diamond on the surface as well as increase the lattice
matching between the diamond film and the substrate. Generally, the substrate is grounded in a
CVD reactor. However, if a negative bias is applied, C ions are accelerated towards the substrate
where they implant. This creates a layer with a high concentration of C, increasing the rate of
nucleation and increasing preference for a specific crystallite orientation during growth [126].

Polycrystalline diamond has a characteristic columnar growth structure where the near-
nucleation regions are made up of a large number of small crystallites, a result of the diamond
seeds. These diamond seeds are typically randomly oriented with respect to one another and
the substrate. Different crystal faces of diamond grow at different rates resulting in crystallites
with the fastest growing face presented upwards out-competing their neighbours. As the film
grows, the number of crystallites, or grains, reduces whilst their size and surface roughness
increases. The distinctive Volmer-Webber columnar growth is shown in the scanning electron
micrograph of a cross-section of a polycrystalline diamond film in Fig. 3.19. The columnar growth
results in anisotropic properties of the diamond film as in the cross-plane direction there are
very few grain-boundaries and the grain size is large. However, in the in-plane direction, the
grains are much smaller and there is a higher density of grain boundaries. This is particularly
pronounced in the near-nucleation region of the diamond where the grains are much smaller.
These small grains can result in size effects for thermal conductivity (see chapter 2.1) whilst

50
3.2. DIAMOND

the grain boundaries themselves are effectively extended crystal defects. The grain boundaries
contain a high density of non-diamond carbon and vacancies which can change the properties of
the film significantly [129–132]. The exact structure of the diamond film depends on the growth
conditions. For instance, high methane concentration results in rapid diamond growth, reducing
the anisotropy of the film. However, the diamond film contains a lot of non-diamond carbon when
grown like this. The addition of nitrogen to the reactor encourages nucleation of the film and
rapid growth however, the crystallites are very small with a high density of non-diamond carbon.
This can even result in the extreme case of ultra-nanocrystalline diamond which is essentially a
composite material of diamond and graphitic carbon [118]. Careful optimisation of the reaction
gases, substrate temperature, and input power or temperature can encourage different growth
steps. Malakoutian et al. have recently developed a multi-step diamond growth process which
aims to produce diamond films with more isotropic structure using MPCVD. This is achieved
by initially encouraging nucleation and coalescence with a low power density growth before
increasing the power density to encourage vertical growth. In this way, the thickness of the
near-nucleation diamond is reduced and the large grain structure is created for a lower thickness.

F IGURE 3.19. Scanning electron micrograph of a cross-section of a thin, chemical vapour


deposition grown polycrystalline diamond film [133].

3.2.2 Diamond For Heat Spreading and Sinking

As discussed in the previous sections, diamond has the highest bulk thermal conductivity at room
temperature. For single crystal diamond, this is in excess of 2000 W m-1 K-1 whilst thick, high
crystal quality polycrystalline diamond can approach 2000 W m-1 K-1 [26, 33]. This is around five
times higher than commonly used heat sinking materials such as SiC and copper [67, 117]. Whilst

51
CHAPTER 3. MATERIALS AND DEVICES

single crystal substrates would be the ideal heat sinking material, the cost and the lack of large
area substrates limits their uptake. Polycrystalline diamond is available in larger areas and is
much more affordable as well as offering the opportunity for direct integration of polycrystalline
diamond by CVD growth on the substrate of interest [14]. However, there are issues with this
process originating from polycrystalline diamond’s extreme anisotropy [34, 134].
The variation of polycrystalline diamond’s thermal conductivity has been studied extensively
both experimentally and theoretically (see Fig. 3.20) [34, 131, 135–140]. The biggest contributor
to the changes in its thermal conductivity is the density of the grain boundaries. As can be seen
in Fig. 3.19, these are much more dense in the near-nucleation region and there are essentially
none parallel to the growth face. For an ideal crystal, these grain boundaries would be similar
to a dislocation, a one-dimensional line defect with non-ideal coordination number or bonding
angle. However, the reality is that these grain boundaries have thickness with multiple atoms
incorrectly bonded. In addition, impurities are preferentially included in these imperfections
given the increased disorder already present. From a thermal perspective, these layers have
very low thermal conductivity, arising from their quasi-amorphous structure, and introduce a
significant thermal resistance between diamond grains.
Anaya et al. studied the thermal conductivity of suspended, polycrystalline diamond films
using Raman thermography with electric heater bars fabricated on the surface. This technique
uses electrical heating to raise the temperature of the diamond whilst exploiting the temperature
dependence of the Raman shift to measure the temperature at different distances away from the
heater bar. In this way, the thermal transport of the film can be calibrated both in-plane and
cross-plane. They found a strong depth dependence on the diamond in-plane thermal conductivity
which increased throughout the films. For instance, the first 680 nm of the film was found to
have an average in-plane thermal conductivity of 65 W m-1 K-1 whilst the subsequent 320 nm
had a value of 150 W m-1 K-1 . The cross-plane thermal conductivity was found to be much higher
on the order of 250 W m-1 K-1 for the 680 nm thick film, reinforcing the high anisotropy of the
polycrystalline diamond’s thermal conductivity.
Subsequent molecular dynamics studies of the thermal resistance of a diamond grain bound-
ary perpendicular to the heat flow found that the thermal properties depended on the atomic
arrangement of the atoms rather than the angle relative to the heat flow [134]. The grain bound-
aries were also found to have an impact on the intra-grain thermal conductivity parallel to
the heat flow. If the grain boundaries were 2 nm apart, the intra-grain thermal conductivity
dropped by between 33% and 90%. However, if they were 25 nm apart, it had minimal impact
on the intra-grain thermal conductivity. This begins to explain the importance of the near-
nucleation microstructure on the thermal conductivity of polycrystalline diamond. By increasing
the near-nucleation grain size, the number of grain boundaries is minimised, reducing anisotropy
throughout the film and increasing the intragrain cross-plane thermal conductivity.
Finally, evaluation of a variety of films of different thicknesses by both thermal methods

52
3.2. DIAMOND

F IGURE 3.20. Calculated diamond cross- and in-plane thermal conductivity as a func-
tion of thickness using the modified Callaway model plotted alongside measured
diamond thermal conductivity. Filled symbols correspond to in-plane measure-
ments whilst open symbols correspond to cross-plane measurements. The dashed
lines indicate the isotropic thermal conductivity of single crystal diamond with
either natural 12 C abundance or isotopically enriched, pure 12 C diamond. Repro-
duced from Anaya et al. [138].

and microstructural elucidated a relationship between film thickness and in-plane and cross-
plane thermal conductivity [34]. The thermal conductivity of the films was modelled, taking into
account a thermal resistance grain-to-grain. This model was based on the modified Callaway
model, taking into account the grain size for different film thicknesses. The Callaway model is a
phenomenological model designed to circumvent the complex multidimensional Boltzmann trans-
port equations for calculating thermal conductivity of crystals at low temperature. It has been
modified to account for the different phonon polarisations as well as using accurate scattering
times to allow it to be applied at higher temperatures [34]. It utilises the Matthiessen’s rule for
scattering lifetime, i.e. that the reciprocal, total scattering rate can be modelled as the sum of
scattering rates originating from different scattering processes. Anaya et al. included scattering
from normal 3-phonon processes, Umklapp 3-phonon processes, and scattering at the grain bound-

53
CHAPTER 3. MATERIALS AND DEVICES

aries. An additional term accounting for the thermal boundary resistance between the diamond
grains was also included, giving a model which accurately matched multiple measurements of
polycrystalline diamond thermal conductivity both in and out of plane, as shown in Fig. 3.20,
using a bulk thermal conductivity of 2200 W m-1 K-1 and thermal boundary conductance at the
grain boundaries of 200 MW m-2 K-1 . This body of work shows the importance of optimising
the diamond microstructure, particularly in the near-nucleation region to optimise its thermal
properties.

3.3 Integration of Diamond and GaN

In this section, the different approaches taken over the years for integrating diamond with
GaN will be reviewed as will the pitfalls and challenges which face the technology. A variety
of techniques have been explored, summarised in Fig. 3.21. Before these are reviewed, some of
the key issues which must be overcome will be addressed. Diamond and GaN have significantly
different CTEs at room temperature and very different temperature dependencies, see Fig. 3.3.
This has the capacity to introduce significant residual tensile stress in the GaN as integration is
carried out at elevated temperature i.e. CVD diamond growth temperatures of > 800°C or MOCVD
GaN growth temperatures > 1000°C. These stresses could result in delamination or cracking
of the GaN as well as changes in electrical properties due to GaN’s piezoelectric properties.
They can also result in heavily bowed wafers which makes device fabrication techniques such as
photolithography infeasible, shown schematically in Fig. 3.22.
Another important issue which must be considered are the thermal properties at the GaN/diamond
interface. If there is a large thermal resistance here it can act as a thermal bottleneck which
negates the benefit of integrating high thermal conductivity material. In general, this thermal
resistance is defined as the effective thermal boundary resistance (TBReff ), taking into account
fundamental thermal boundary resistances which occur at the interface between dissimilar
materials (see chapter 2.2.4) as well as any thermal resistances of interfacial materials, defined
as their thermal conductivity divided by their thickness, any poor quality, near interface material,
and the resistance incurred by phonon scattering at rough interfaces. A breakdown of these
contributions for GaN-on-diamond is shown in Fig. 3.23(a). The TBReff in this scenario can be
thought of as a sum of thermal resistances in series made up of the thermal resistance of the
near-nucleation diamond (RNear Nuc. ), the fundamental TBR at the interfaces (RFund. ), the thermal
resistance of the interlayer (Rinterlayer ), and any other phonon scattering which occurs as a result
of disorder in the GaN near the interface (Rinterface ). Fig. 3.23(b) shows the importance of this
parameter for GaN-on-diamond transistors. In this figure, Pomeroy et al. present temperature
measurements carried out using Raman thermography on a GaN-on-diamond transistor with
a 25 nm thick SiNx interlayer [141]. These temperature measurements show the effect of the
thermal discontinuity at the interface. Simulations indicate that reduction of TBReff to 0 from

54
3.3. INTEGRATION OF DIAMOND AND GAN

F IGURE 3.21. Strategies of integrating GaN on Diamond including (a) epitaxial growth
of GaN on poly- or single-crystalline diamond, (b) overgrown polycrystalline di-
amond heat-spreaders on GaN-on-Si, Sapphire, or SiC, (c) backside growth of
polycrystalline diamond on GaN, and (d) direct wafer bonding of GaN to poly- or
single-crystalline diamond.

27 m2 K GW-1 (the value measured) is more important for thermal management than doubling
the diamond thermal conductivity.
Having introduced these two key challenges, the different strategies employed for integrating
diamond on GaN, a summary of which is shown in Fig. 3.21, will be reviewed. First, attempts
at heteroepitaxial growth of GaN onto diamond substrates will be examined. From a thermal
perspective, this immediately offers benefits as single crystal diamond substrates or polished

55
CHAPTER 3. MATERIALS AND DEVICES

Heat to
GaN ~800°C
GaN

Diamond
Growth
Diamond Cool to Diamond
~22°C
GaN GaN

Diamond
GaN

F IGURE 3.22. A schematic diagram of how the thermal expansion mismatch can nega-
tively impact GaN-on-diamond material produced when growing diamond on GaN.

F IGURE 3.23. (a) A schematic of the thermal resistances which make up the effective
thermal boundary resistance at the GaN/diamond interface; (b) Raman thermog-
raphy temperature measurements and finite element simulations of a GaN-on-
diamond transistor showing the importance of the effective thermal boundary
resistance, R, on the peak temperatures measured regardless of diamond thermal
conductivity. Reproduced with permission from [141].

polycrystalline diamond substrates could be used. In both of these circumstances, the poor quality,
near-nucleation diamond encountered in as grown polycrystalline diamond is not present. This

56
3.3. INTEGRATION OF DIAMOND AND GAN

means the thermal resistance introduced by this layer is removed, enhancing the heat sinking
capacity of the diamond.
One of the earliest attempts for growth of GaN on diamond was carried out by Hageman et al.
in 2003 [142]. A polycrystalline GaN film was grown on (110) oriented, single crystal diamond
substrate using a combination of MOCVD and HVPE at temperatures in excess of 950°C. It was
necessary to first grow a 10 nm thick AlN nucleation layer on the diamond prior to GaN growth.
The resulting films were incredibly rough with free-standing, randomly oriented GaN grains.
Upon cooling of the films, cracking of the GaN film was observed, likely a result of CTE mismatch
between the diamond and GaN. It is noted that at this point GaN growth was in its infancy
and generally limited to polycrystalline growth and growth on Si and sapphire suffered similar
cracking issues to those seen for diamond.

F IGURE 3.24. (a) and (b) are examples of the nucleation of GaN on polycrystalline
diamond when using a GaN nucleation layer whilst (c) and (d) are equivalent
growths when using a AlN nucleation layer. Reproduced with permission from [29].

In the late 2000s and early 2010s, there was a large body of work developing different
growth strategies using either MBE or MOCVD [29, 31, 143]. Van Dreumel et al. carried out
a systematic study into the nucleation of GaN on polycrystalline diamond, with a preferential
crystallite orientation of (220). Thin GaN or AlN nucleation layers were first grown on the
diamond surface at 525°C or 850°C followed by a high temperature bulk GaN growth at 1170°C

57
CHAPTER 3. MATERIALS AND DEVICES

using MOCVD. When using a GaN nucleation layer, there was a consistently low coverage of
GaN nuclei indicating poor wetting of the diamond by the GaN. Additionally, the nuclei were not
consistently oriented with respect to the diamond surface, Fig. 3.24(a) and (b). However, when
using an AlN nucleation layer, more, smaller GaN nuclei were observed which were consistently
oriented with respect to the diamond surface as can been seen in Fig. 3.24 (c) and (d) where
the flat, c-face of the GaN can bee seen to be parallel to the diamond surface. The thicker
films generally showed lower roughness when using AlN nucleation and, whilst cracks were
present in the final GaN film using both methods, there was a lower density of these when
using the AlN nucleation layer. The improved quality of GaN grown with AlN nucleation layers
has seen been shown independently by a number of groups [32, 143, 144]. The AlN layers have
been shown to have improved affinity for the diamond surface and offers some kind of strain
management resulting from its CTE being between GaN and diamond at room temperature, Fig.
3.3. Regardless, photoluminescence measurements still showed very defective GaN films which
were not device worthy.

Van Dreumel built on these advances significantly in 2011, achieving epitaxial growth of
GaN films on (001) single crystal diamonds [30]. In this work, a similar AlN nucleation layer
was deposited before GaN growth was optimised to provide coalesced GaN films growing along
the c-axis. In the films with the highest GaN coverage, cracking was observed through the GaN
film, resulting from the CTE mismatch between the GaN and diamond and the cooling from
the high > 1170°C GaN growth temperatures. For films with lower coalescence, the individual
grains were still under large tensile stress but, as the grains were isolated, this stress could
not be alleviated through cracking. Regardless, the cracking and high tensile stress is a good
indication of strong mechanical adhesion to the diamond. The exact epitaxial relationship of
the GaN film appeared to depend on the surface reconstruction of the diamond. On the (100)
face, surface reconstruction results in dimers aligned along the [110] or [11̄0] direction. The
GaN is known to have preferential growth along these directions, demonstrated in Fig. 3.25(a) .
In diamond, these different reconstructions have a 1 atomic layer difference in height and can
occur randomly in non-atomically flat diamond substrates. This leads to an approximately 50:50
ratio of different GaN growth domains with a 90° difference in orientation. In this work, they
showed it was possible to increase the preference for different orientations using miscut diamond
substrates, varying the relative area of the diamond reconstructions. Still, the films produced in
this work were not of the necessary quality for the fabrication of AlGaN/GaN HEMTs although
the improvement in the surface morphology is evident comparing Fig. 3.25(b)-(e) to Fig. 3.24.

Single crystalline AlGaN/GaN HEMT structures were subsequently grown utilising the AlN
interlayer as well as graded AlGaN/GaN strain relief layers. These layers are used in the same
way as the similar layers in GaN-on-Si, introducing compressive stress and convex bow in the
GaN at the growth temperatures [31, 32, 145]. Upon cooling, the CTE mismatch with the diamond
introduces tensile stress in the GaN, balancing the stresses resulting in flat wafers. In this way,

58
3.3. INTEGRATION OF DIAMOND AND GAN

a)

b) c)

5 μm 5 μm

5 μm 5 μm
d) e)
F IGURE 3.25. (a) depicts the preferred alignment of the GaN crystal on the (001)
diamond surface. (b)-(e) show the scanning electron micrographs of the surface
of an approximately 0.86 µm GaN film grown using an AlN nucleation layer. The
diamond substrate was misoriented towards the [110] direction by some angle α.
Different samples use slightly different growth conditions. The insert shows the
fourier transform of the SEM images. Reproduced with permission from [30].

59
CHAPTER 3. MATERIALS AND DEVICES

crack free, single crystal GaN can be produced. Whilst this solves one of the issues outlined at the
beginning of the section, it results in increased thermal resistance between the diamond and the
GaN channel. These multilayer structures are made up of many thin layers of GaN and AlGaN
(∼20 nm) which suffer from extremely low cross-plane thermal conductivity of < 10 W m-1 K-1
[105]. This is a result of size effects and phonon mean free path suppression as well as reduced
thermal conductivity of AlGaN alloys compared to bulk GaN and AlN (see chapter 2.2). This
arises from what is essentially impurity scattering. Al or Ga atoms are equivalent to impurities
in the GaN or AlN crystal structure and act as phonon scattering centres, reducing the phonon
mean free path [146]. Hence, the thermal resistance of these structures, which have a combined
thickness on the order of 500 nm, is very large and complicated by the need to consider the
thermal latency of such a large amount of material. These kinds of structures are therefore not
viable for efficient thermal management. The issue of balancing CTE mismatch and thermal
resistance has never been resolved for heteroepitaxy of GaN on diamond which is reflected in the
precipitous drop-off in published material over the last 10 years.

More recently, there has been a focus on growth of polycrystalline diamond on GaN HEMT
structures grown on conventional substrates, Fig. 3.21(b) and (c). Two approaches have been
explored, overgrowing thin (< 10 µm) polycrystalline diamond heat spreaders on top of a GaN
HEMT or removing the original growth substrate (and any strain relief layers) and growing thick
(> 100 µm) polycrystalline diamond on the backside of the HEMT. In the latter, the diamond
acts as an integrated heatsink, with the aim of removing heat from the GaN device into a larger
heatsink on the back side. This method has had the most attention in the literature and has also
become commercialised by, most recently, Akash Systems and RFHIC [14, 40, 141, 147–150]. In
general, a typical GaN HEMT epitaxy is grown on Si. The topside of this wafer is bonded to a
sacrificial carrier wafer before the Si growth substrate and any strain relief layers are removed by
a combination of wet etching, mechanical grinding, and dry etching. A thin interlayer is typically
deposited on the GaN to act as an adhesion layer, the details of which will be covered later in this
section. This is followed by polycrystalline diamond growth by HFCVD or, more often, MPCVD.
Following diamond growth, the GaN-on-diamond wafer is released from the handle wafer and
devices are fabricated. For overgrowth, the thin diamond layer acts as a heat spreader grown on
top of device passivation. The role of the diamond for thermal management is markedly different
in this scenario; heat is not being dissipated through the diamond into another heatsink, instead
the diamond offers a route for heat to be spread laterally away from the hot spot before being
drawn back through the GaN and substrate and into a backside heatsink, see Fig. 3.26. GaN is
typically grown on conventional substrates (sapphire, Si, or SiC) prior to diamond growth on the
top side. There is a smaller body of work on this technique [35–37, 43, 151–153], arising from
the poorer heat spreading capacity of thin polycrystalline diamond films (see chapter 3.2) and
complications in device fabrication although thin diamond layers remove some of the issues of
CTE mismatch. However, this approaches opens up the possibility of both front and backside heat

60
3.3. INTEGRATION OF DIAMOND AND GAN

extraction. Both cases use similar techniques and must overcome similar challenges meaning the
developments for one method can be applied to the other.

F IGURE 3.26. Schematics showing the heat flow in a GaN HEMT when using a backside
diamond heatsink (a) and using a thin, overgrown, diamond heatspreader (b).

In either case it is not possible to grow diamond directly on the GaN. As seen for GaN growth
on diamond, GaN does not readily wet the diamond surface (Fig. 3.24) and, when diamond growth
was attempted directly on GaN, the diamond films delaminated indicating poor adhesion [36, 154].
This can be understood from an energetic perspective as it has been shown that the formation
of gallium carbide is energetically unfavourable, even under extreme conditions tuned for its
formation [42]. Even on the nitrogen polar face of GaN, this appears to limit the density of strong
covalent bonds which can be formed at the interface resulting in poorly bonded diamond films.
Optimising the strength of this interface is key for not just production of material but also for its
long term stability. GaN-on-diamond devices will undergo significant thermal cycling through
their lifetimes and this interface must be robust enough to survive local stresses which occur as
a result of the CTE mismatch. The lack of covalent bonds also introduces a significant thermal
resistance at the interface. If bonding is achieved solely through weak van der Waals bonds
then the transmission of phonons across the interface is hindered [155]. The weak bonds cannot
vibrate at the necessary frequency to transmit high wavevector phonons, acting as a short-pass
acoustic filter (see Fig. 3.27 ).
Another issue to be considered is GaN’s capacity to survive diamond growth conditions. As
explained in chapter 3.2, CVD of polycrystalline diamond uses extreme conditions either utilising
a H2 plasma and high substrate temperatures (> 600°C) in MPCVD or a hydrogen atmosphere
containing reactive hydrogen and carbonaceous species at elevated temperature in HFCVD. It
has been found the GaN readily decomposes in these hydrogen rich conditions and etching of
pin holes through the whole GaN stack has been observed for MPCVD [44, 156]. This problem,
combined with the inability of GaN to form a carbide has led to the use of interlayers between

61
CHAPTER 3. MATERIALS AND DEVICES

F IGURE 3.27. The transmission probability of a phonon incident to the GaN/diamond


as a function of frequency depending on the adhesion energy at the interface. Also
shown is the normalised phonon occupation in GaN at 300 K. Reproduced with
permission from [155].

the diamond and the GaN [14, 35, 36, 43, 147–150, 153, 154, 157, 158]. These layers serve two
purposes, protecting the GaN from the harsh diamond growth conditions and promoting carbide
formation to ensure strong adhesion. However, these interlayers are frequently low thermal
conductivity amorphous materials which can introduce a significant thermal resistance at the
interface, reducing the benefit of the diamond. This is an issue present in both overgrowth
and backside growth of diamond and substantial efforts have been invested in minimising this
[35, 40, 149, 150, 159, 160]. The majority of this work has focused on characterising TBReff using
time-domain thermoreflectance (TDTR) on GaN-on-diamond wafers utilising amorphous SiNx or
AlN layers of different thickness. In all reported cases, SiNx of similar thicknesses were found
to provide lower TBReff than amorphous AlN [149, 157]. This is thought to arise from the SiNx
having a greater resilience to the diamond growth conditions, preventing roughening of the
interface which enhances phonon scattering.
The dependence on thickness of TBReff of SiNx layers has been the source of much study. One
early measurement by Pomeroy et al. investigated two thicknesses of SiNx interlayer, 90 and
50 nm, with 110 µm of CVD polycrystalline diamond grown on the backside of a GaN HEMT
[40]. The measured TBReff of these layers was 41 and 17 m2 K GW-1 respectively. Seeing as the
diamond growth conditions were kept constant, the lowering in TBReff can be directly ascribed
to thinning of the SiNx . Cho et al. conducted a similar study using an amorphous 22-31 nm
thick SiNx layer with approximately 100 µm thick CVD grown polycrystalline diamond layer

62
3.3. INTEGRATION OF DIAMOND AND GAN

grown on the backside [160]. The TBReff associated with these SiNx layers was 31.8±5.3 m2 K
GW-1 for the sample with 31 nm thick SiNx whilst for the two samples with a 22 nm SiNx this
varied from 19.8±4.1 to 17.4±3.0 m2 K GW-1 . Whilst some minor differences were seen in the
microstructure of the diamond, it is unlikely these resulted in such a large drop-off in TBReff .
Again this can be ascribed to a reduction in the thickness of SiNx . Reducing the thickness of
the SiNx has so far been the most promising method of reducing TBReff with a record low value
of 9.5+3.8/-1.7 m2 K GW-1 reported by Yates et al. using a 5 nm thick SiNx layer [149]. It is not
surprising that this is such an effective method. The thermal resistance of the interfacial material
can be calculated as its thickness divided by its thermal conductivity. As these are amorphous
materials, there is no drop off in thermal conductivity with size effects (see chapter 2.2.3) whilst
different deposition methods of amorphous SiNx have been shown to have little effect on its
thermal conductivity, remaining < 2 W m-1 K-1 [161].

Another issue common to both methods pertains to the thermal resistance of the interface. As
discussed in detail in chapter 3.2, the near-nucleation polycrystalline diamond has substantially
diminished thermal properties when compared to the bulk, a result of its Volmer-Webber columnar
growth. This layer also adds to the effective thermal boundary resistance and the thickness of
it and the diamond quality within it should be optimised to minimise its thermal resistance.
Different diamond growth strategies have been employed including two step diamond growth
procedures using low power density plasma to encourage lateral diamond growth followed by
etching back of the poor quality diamond and regrowth at higher power density for improved
thermal properties [147]. Other approaches have been taken looking at seeding conditions.
Smith et al. investigated a mixed seeding technique employing both micro-diamond seeds and
nanodiamond seeds [154]. Conventional diamond growth uses only nanodiamond seeds which
results in nanosized grains upon nucleation. Replacing these with microseeds would be expected
to increase the size of these grain, increasing the thermal conductivity of this region. However, if
only microseeds were used, it is likely that voids would occur near the interface as diamond grew
laterally from the sides of the microdiamond. Instead, a mixture was proposed with nanodiamond
filling in the voids between the microdiamonds, see Fig. 3.28 . This would help to reduce TBReff
as well as protecting the under-laying substrate. The importance of optimal seeding density has
been shown by Liu et al., who found that inadequate seeding density can result in microstructural
defects in the GaN [156]. The mixed seeding technique demonstrated by Smith et al. showed
good promise reducing TBReff , achieving a reduction of 30 times compared to only nanoseeds for
diamond grown on AlN.

For overgrown polycrystalline diamond heat spreaders, only thin layers have been typically
used, < 10µm thick, to ensure device fabrication is possible. To date, thermal characterisation
has been limited. The work has been reviewed in detail in chapter 7. In brief, most research
has been undertaken on samples with thick (> 50 nm) SiNx layers, resulting in a TBReff in
excess of 45 m2 K GW-1 [35]. Finite element simulations of a GaN HEMT with a topside diamond

63
CHAPTER 3. MATERIALS AND DEVICES

F IGURE 3.28. Schematics of (a) nanoseeding, (b) microseeding, and (c) mixed seeding
for polycrystalline diamond growth. Reproduced with permission from Smith et al.
[154].

heatspreader showed the importance of considering the anisotropy of the diamond’s thermal
conductivity.
Thermal characterisation and simulation of devices with backside diamond growth has been
more thorough, showing that polycrystalline diamond substrates have the capacity to offer a
step change in the output power densities of GaN HEMTs [27, 40, 141]. The most complete
analysis was performed by Gerrer et al., who performed Raman thermography measurements on
a commercially available GaN-on-diamond RF HEMT with a 13 µm gate pitch, which showed
good electrical performance [27]. These measurements elucidated temperatures at different
points on the chip, giving insight into the temperature gradient across the different layers (e.g.,
GaN, GaN-diamond interface, diamond, die attach, Mo/Cu carrier). Measurements were also
taken on a commonly available GaN-on-SiC structure with a 40 µm gate pitch. These devices
acted as benchmarks for simulations to investigate the whole parameter space for GaN-on-

64
3.3. INTEGRATION OF DIAMOND AND GAN

F IGURE 3.29. Illustration of how temperature is dropped across the different layers of
a GaN-on polycrystalline diamond (left), single crystal diamond (centre), and SiC
(right) RF HEMT transistor operating at 8 W mm-1 . Reproduced with permission
from Gerrer et al. [27], ©2021 IEEE.

polycrystalline diamond, GaN-on-single crystal diamond, and GaN-on-SiC devices. The results of
these simulations showed that, for thermally optimised devices, GaN-on-polycrystalline diamond
demonstrates a three times larger areal power density over the GaN-on-SiC even considering
an unoptimised TBReff of 20 m2 K GW-1 for the GaN-on-polycrystalline diamond. Examination
of the temperature change across the layers indicated that there was still significant benefits
to be made from reducing TBReff to the values reported in the literature as over one fifth of the
temperature is dropped across this interface, see Fig 3.29. The optimal structure, from a thermal
perspective, was found to be 1.5 μm of GaN, resulting from the thickness dependency in thermal
conductivity, with 150 μm of either single or polycrystalline diamond. The study also highlighted
the need to optimise the thermal properties of the die-attach which could be the next limiting
factor in thermal management of packaged GaN-on-diamond devices.
In summary, backside growth of diamond is already showing its commercial applications for
high-power, higher-frequency, power amplifiers. However, there is still room for improvement at
the material level which could enable even more improved performance. Overgrowth of diamond
heat spreaders is a much less exploited technology which does not appear to have the capacity to
deliver the step change which backside growth could. In part, this is a result of the poor thermal
conductivity of thin polycrystalline diamond films, particularly laterally. However, if this could be
improved, it could offer a route for significant gains in thermal management of devices and offers
an additional route for top-side heat extraction. Lessons learned from work on either technique
have the capacity to be translated into the other meaning development of both techniques can
occur concurrently.

65
CHAPTER 3. MATERIALS AND DEVICES

Recently, direct wafer bonding of GaN to either single or polycrystalline diamond has become
an area of much interest [16] and the general process is shown in Fig. 3.21(d). Such a process
offers a number of benefits. First, direct bonding can be achieved at room or moderately raised
temperatures, < 300°C. Such low temperature processes help to avoid the introduction of strain
in the layers arising from CTE mismatch, removing issues outlined at the start of this section.
It also means that issues of low thermal conductivity near-nucleation polycrystalline diamond
are removed; polycrystalline diamond wafers which are bonded can be polished to remove this
layer or single crystal diamond wafers can be used. In addition, high quality GaN can be grown
on standard substrates before being replaced with diamond. In other material systems, bonding
techniques such as surface activated bonding (SAB) and radical enhanced bonding processes can
achieve almost perfect interfaces, with less than 10 nm of amorphous material at the interface
[11, 162]. These processes generally take place in vacuum, using radical species or accelerated ion
bombardment to clean the surface of the two wafers, chemically activating them before bringing
them together with a combination of pressure and slightly elevated temperatures, demonstrated
in Fig. 3.30. These conditions result in reactions and bonding. Whilst amorphous material can
form at the interface, annealing can be used to shrink these layers. For GaN-on-diamond, a
similar technique was used by Cheng et al. [39]. GaN was bonded to single crystal diamond using
SAB with a thin 5 nm thick, amorphous Si interlayer which was deposited by sputtering on
both diamond and GaN surfaces. These were then exposed to a Ar ion beam before being brought
together and bonded at room temperature. In another instance, Si was mixed with the Ar ion
beam to try and reduce the thickness of the Si interlayer. The first process resulted in a ∼10 nm
amorphous Si layer whilst the other showed a thinner ∼4 nm Si layer. Both samples showed
excellent thermal properties with extremely low TBReff of < 20 m2 K GW-1 and 11 m2 K GW-1
for the two samples respectively. Device simulations show the promise of this technique but no
devices have yet been fabricated. Other bonding methods have been demonstrated successfully,
including a process exploiting aluminium oxide chemistry [16], but thermal characterisation
has been lacking. This process is not investigated in this work but it is a promising avenue of
research in the future. However, it is necessary to reiterate the importance of removing strain
relief layers prior to bonding of the diamond for optimal thermal management.

3.4 Silicon Carbide

Silicon carbide is also a popular material for heat sinking arising from its own high thermal
conductivity of ∼ 400 W m-1 K-1 [67]. Whilst this is considerably lower than bulk diamond,
growth methods are currently much more scalable [70]. It is a compound semiconductor of
stoichiometrically equal Si and C. Both atoms are tetravalent, similar to diamond, with sp3
hybridisation. Covalent bonding is performed by a shared σ bond between the Si and C atom
which has a high bond enthalpy of around 450 kJ mol-1 [117]. In a manner similar to diamond, the

66
3.4. SILICON CARBIDE

Contaminants

Contaminants

Initial surface Surface activation (UHV) Bonded material after contact

F IGURE 3.30. Schematic of surface activated bonding. The initial surfaces of both wafers
have adsorbed contaminants and the surface atoms are reconstructed to minimise
surface energy. The wafers are introduced into ultra high vacuum (UHV) where
they are exposed to a bombardment of ions (e.g. Ar ions), shown in green. These ions
remove the adsorbed contaminants and also remove surface atoms, breaking bonds.
This results in reactive surface species with dangling bonds. Bringing the two
wafers into contact, the now reactive surfaces will form covalent bonds. However,
the bombardment can result in disorder at the surface, illustrated by the voids in
the final panel.

strong covalent bonds are important for determining SiC’s material properties such as its high
thermal conductivity, Young’s modulus, and high hardness [67]. However, the exact properties
of the SiC depend on its polytype. Unlike GaN and diamond, there are multiple phases (> 200)
of SiC. These polytypes are typically referred to by Ramsdell’s notation which represents the
number of Si-C bilayers in the unit cell and the crystal system. The possible crystal systems are
cubic (C), hexagonal (H), and rhombohedral (R). The most common polytypes are 3C-, 4H-, and
6H- and their stacking structures are shown in Fig. 3.31. It is unclear why so many polytypes are
stable for SiC. Typically, the zincblende structure is more stable for crystals with strong covalent
bonds (such as diamond) whilst the wurtzite structure is favoured by those with a high degree of
ionic bonding (such as GaN) [163]. Silicon carbide has an intermediate degree of ionicity which
could explain the large variation in crystal structures.
Although 3C-SiC is a popular polytype (particularly for electronic applications) it is unstable
and will transform into hexagonal polytypes at temperature above 1900-2000°C. On the other
hand, both 4H- and 6H-SiC are much more stable meaning that large crystals are more readily
synthesised. It is these two polytypes which this section will concentrate on as these are the
polytypes commonly used for heat sinking.
All SiC polytypes have a similar Si-C bond with a bond length of around 1.89 Å and the same

67
CHAPTER 3. MATERIALS AND DEVICES

(a)

(b) (c) (d)

F IGURE 3.31. (a) shows the different stacking positions for a hexagonal crystal struc-
ture, where the Si-C primitive basis can be positioned;(b)-(c) show the stacking
structures for the 3C-, 4H-, and 6H- polytypes where the letter a, b, and c refer to
the stacking position in (a).The letters h or k in the atoms indicate whether these
are cubic or hexagonal lattice sites. Reproduced with permission from Kimoto et al.
in "Physical Properties of Silicon Carbide" [163].

degree of ionicity. This results in all SiC polytypes having very similar mechanical properties
such as high hardness and a high Young’s modulus which ranges from 380-700 GPa. The ionicity
of the C-Si bond (C is slightly more electronegative) results in the C-face and Si-face possessing
slightly different properties such as surface charge, surface energy, and surface chemistry. The
different surface properties can have significant impact on SiC growth on the different faces as
well as in subsequent heteroepitaxial growth.
Whilst mechanical properties are similar for all the SiC polytypes, the change in atomic
structure results in differences in the periodic potentials within the crystal. This gives rise to
variations in the electronic band structures of different polytypes such as density of states, and
bandgap. All polytypes have a wide, in-direct bandgap but the magnitude of the bandgap can
vary quite significantly from 2.36 eV for 3C-SiC to 3.26 eV for 4H-SiC at room temperature. The
differences in crystal structure and symmetry also result in variations in the phonon dispersion
relations as shown in Fig. 3.33 whilst the first Brillouin zone for hexagonal SiC polytypes is

68
3.4. SILICON CARBIDE

(a) C atom
(b)
Si atom

4H-SiC 6H-SiC
F IGURE 3.32. (a) shows the unit cells of 4H- and 6H- SiC; (b) shows the Brillouin zone
for hexagonal crystals with high symmetry directions marked. The structure of
this for 4H- and 6H- SiC will be the same however, for 6H-SiC this will be shorter.
(b) reproduced with permission from Ivanoc et al. [164]

shown in Fig. 3.32(b). Again, as a result of the strong Si-C bond, the phonon density of states
are dominated by high frequency phonons for all polytypes. However, the difference in number
of atoms in the unit cell results in different numbers of phonon bands (see chapter 2.1). In
both cases, the primitive basis set is a Si and C atom. For 6H-SiC, there are six repetitions of
this primitive basis set in the unit cell (Fig. 3.32(a)). This results in 3 × 6 = 18 transverse and
longitudinal acoustic phonon modes and (3 × 2 − 3) × 6 = 18 transverse and longitudinal optical
phonon modes. For 4H-SiC which contains 4 primitive basis sets, this results in 12 optical and
acoustic modes respectively. The variation in phonon degeneracy and density of states means
that Raman spectroscopy (see chapter 4.1.1) can be used to easily distinguish between different
polytypes. The variation in phonon group velocities between polytypes results in variations in
thermal conductivity whilst the symmetry of these crystals also results in anisotropic thermal
conductivity for the in and through plane directions [165]. Similar to diamond, the relatively
light atoms, high acoustic phonon velocities, and high Debye temperature to give a large phonon
mean free path and high thermal conductivity, although lower than diamond.

3.4.1 SiC Growth

Bulk SiC growth cannot be achieved from melt growth as stoichiometric liquid SiC is not stable
at technologically feasible pressures. Instead, sublimation processes are used to grow bulk SiC
crystals from the gas phase [18]. These bulk crystals are then used for further homoepitaxy of SiC
for electronic devices or heteroepitaxy of non-native semiconductors such as GaN [73, 166]. One of
the first growth procedures was developed by Lely in 1955 and a schematic of the growth crucible
is shown in Fig. 3.34(a). It used a cylindrical graphite crucible to heat SiC powder to around

69
CHAPTER 3. MATERIALS AND DEVICES

(a) (b)

F IGURE 3.33. The theoretical phonon dispersions relations for 4H-SiC (a) and 6H-SiC
(b), calculated using density functional theory. Red circles indicate experimental
results. reproduced with permission from Protik et al. [165].

2500°C to cause sublimation into, commonly, SiC2 and CSi2 gaseous species. These species diffuse
into the central growth cavity. They randomly nucleate on the porous graphite side-walls to form
SiC platelets. These are typically 6H-SiC with a low dislocation density and high crystal quality.
However, other polytypes are occasionally included such as 4H- or 15R-SiC and the platelets are
small (0.3-0.5 mm across) and have irregular shapes. Whilst this process is limited for producing
large areas of SiC, it is useful for the production of SiC seed crystals used in the standard bulk
SiC growth technique, seeded sublimation method also known as physical vapour transport
(PVT).

(a) (b)
All rights reserved.

F IGURE 3.34. Crucible structure and growth method of SiC for the Lely method (a) and
the seeded sublimation (or physical vapour transport) method (b). reproduced with
permission from Kimoto et al. in "Physical Properties of Silicon Carbide" [18].

70
3.4. SILICON CARBIDE

A schematic of the PVT method is shown in Fig. 3.34(b) and works on a similar basis to
the Lely method [18]. A SiC source, such as powdered SiC or sintered polycrystalline SiC, is
loaded into the bottom of a graphite crucible whilst a single SiC seed is placed at the top of the
crucible around 20-40 mm away. The bottom of the crucible is heated to around 2300-2400°C
whilst the seed crystal is typically held at a temperature 100°C lower. Insulation of the crucible
is important to help carefully control the temperature and temperature gradient which is critical
for SiC growth and control of the polytype grown. The SiC source sublimes and the gaseous
species (similar to the Lely process) are carried to the seed crystal using a flow of H2 or Ar gas.
The temperature gradient results in condensation and crystallisation of SiC on the seed crystal.
Typically, the growth is carried out at low pressures (< a few hundred Pa) to promote mass
transport of the sublimed SiC to the seed crystal, increasing growth rate. Growth rate can also be
enhanced using higher temperature to promote sublimation, although this can result in increased
defects in the grown SiC, and by reducing the separation of the seed and the source. Typically Si
is also included in the source mixture, accommodating for the increased rate of sublimation of
Si over C in the SiC source. If this were not included, the SiC source would quickly become C
rich and graphitise, producing defective SiC. The current state-of-the-art SiC production grows
boules at 0.3-0.8 mm h-1 which can be grown to around 30-50 mm long. The length of the boules
is limited by growth times being restricted to a few days as a result of the SiC source becoming
carbon rich.

Control of the grown polytypes is very important for producing high quality SiC with con-
sistent electrical properties as well as high thermal conductivity. To date, there is limited
understanding about what the exact mechanism is driving selective polytype control although
there is a good empirical methodology for production of specific polytypes detailed in Fig. 3.35.
At high growth temperatures of around 2000°C 4H-, 6H-, and 15R-SiC are all stable. However,
growth of specific polytypes appears to be controlled by the C/Si ratio in the gaseous phase.
Crystals which have a high number of atoms sitting on hexagonal lattice sites (marked by h in
Fig. 3.31(b)-(c)) favour C-rich reaction mixtures. Interestingly, the polytype of the seed appears to
have little effect in determining the polytype of the grown material. Instead, the polarity of the
surface is much more important. On the Si-face of a 4H seed, 6H-SiC can be readily grown with
optimisation of growth conditions whilst 4H-SiC is favoured on the C-face of all SiC seeds (using
the conditions commonly employed for PVT).

In the early days of SiC growth, lack of control of impurities and unintentional dopants meant
that production of intrinsic, semi-insulating SiC was not possible [18]. Reduction of background
dopants below 1010 was very difficult and to produce semi-insulating SiC, compensation dopants
were added. If the background dopant were an acceptor, donor dopants were added to neutralise
these and vice versa. A common dopant used in semi-insulating SiC was Va which is amphoteric.
This means it behaves as an acceptor in n-type SiC and a donor in p-type. Whilst this method
works well to produce SiC wafers with high electrical resistivity, it has significant effects on the

71
CHAPTER 3. MATERIALS AND DEVICES

thermal properties of the wafer. The impurities introduced act as scattering centres for phonons
resulting in much reduced thermal conductivity. More recently, high-purity semi-insulating SiC
wafers have been grown. The residual dopant levels in these wafers is reduced by purifying the
SiC source and using high-purity graphite within the growth crucible. In this way, SiC with high
electrical resistivity can be produced without impacting its thermal properties [18].

F IGURE 3.35. Empirical observations of conditions where SiC polytypes are stable and
can be produced by physical vapour transport. Reproduced with permission from
Kimoto et al. in "Physical Properties of SiC" [18].

3.5 Silicon-on-insulator

The final material system which will be reviewed in this chapter is silicon-on-insulator (SOI).
This is a hybrid material which aims to isolate neighbouring Si devices from one another without
the need of a reverse biased p-n junction, utilising an electrically insulating substrate or layer
below the Si-device layer. By removing this junction, parasitic capacitance’s can be reduced
(reducing power consumption), higher speed devices are possible due to complete isolation of n-
and p-well devices, whilst the resulting devices have increased radiation hardness. Producing
this material was a non-trivial process as, at the time of development in the 1970s, there was no
epitaxial method to deposit a thin single crystal Si film on an insulating layer [5]. A variety of
processes were attempted for producing this kind of material including epitaxial growth of Si
on sapphire substrates, using electrically isolating, porous oxidised Si underneath the Si device
layer, and wafer-bonding of two Si wafers with interfacial SiO2 and grinding away of the top Si
wafer [5]. However, the most popular methods have centred on the use of ion implantation to
either create a subsurface oxide layer or to create a damaged layer in a Si wafer which allows
transfer of a thin, single crystal Si layer to a second Si wafer by wafer bonding. There has also
been interest in using electrochemistry to create insulating, porous Si below the device layer. In

72
3.5. SILICON-ON-INSULATOR

the main however, SOI material is made up of a thin (10s nms to a few microns) Si device layer
on top of a buried silicon oxide layer (BOX) followed by a bulk Si substrate. This material has
found applications in microelectronics, ranging from power and radio-frequency applications to
memory; photonics applications which take advantage of the high refractive index difference
between silicon and the BOX; and micro-electromechanical systems where the BOX facilitates the
fabrication of the free standing micro-mechanical structures required. In all of these applications,
a key advantage of SOI is the presence of the high purity, high-crystal quality, thin Si device layer
[167]. For microelectronics applications this has the added advantage that wafers can processed
in Si foundries.

a)

Ti >500°C
ϕ c ~ 1.4 × 1018 O cm-2 at 200 keV

b)

F IGURE 3.36. Schematic of the SIMOX process, showing the evolution of the buried
oxide forming by oxygen ion implantation. The hatched region is the SiO2 layer
which forms at the mean implantation depth when the ion does exceed the critical
dose φc . High temperature annealing is important for producing SOI with non-
defective Si regions with abrupt SiO2 /Si interfaces as shown in (b). Reproduced
with permission from Hemment et al. (Ref. [168]) and Marsh et al. (Ref. [169])
respectively.

73
CHAPTER 3. MATERIALS AND DEVICES

Separation by Implantation of Oxygen (SIMOX) is one of the processes using ion implantation.
In brief, the BOX is synthesised by bombarding a Si wafer with oxygen ions at temperatures in
excess of 300°C. The process is shown in Fig. 3.36(a). One of the key challenges of this process
was preserving the Si device crystal quality and controlling the thickness of the BOX and device
layer. At the beginning of the process, very high fluences of ions (2 × 1018 ions cm-2 ) were required
to form a 400 nm thick BOX and ∼200 nm thick device layer. However, the high dose lead
to a high density of defects in the Si crystal lattice, having detrimental impact on electrical
performance. Annealing during the oxygen implantation at around 600°C and a high temperature
anneal (> 1300°C) after was introduced to reduce this. This helped promote repair to the crystal
damage whilst the high temperature anneal caused reaction of the implanted oxygen with the
surrounding Si to form the SiO2 layer. Subsequent work focused on reducing the ion fluence
which plays a large role in determining the cost of the final SOI wafer. It also helps reduce the
crystal damage. Typically, SIMOX uses a thin BOX which is less than 500 nm thick. Such a thin
BOX can cause issues with electrical isolation as Si micro-pipes can be present through the whole
layer, offering a conductive path to the Si substrate. To overcome this, an additional 1350°C
anneal was developed which oxidised the buried Si-BOX interface, increasing BOX thickness
and oxidising Si micro-pipes. The final stoichiometry of the BOX as well as the structure of the
interfaces depends on the annealing processes used as shown in Fig. 3.36(b).

F IGURE 3.37. Schematic of the SmartCutTM process flow for the production of a silicon-
on-insulator wafer.

The SmartCutTM was developed in the 1990s and uses a wafer bonding process combined with
ion implantation to create the SOI wafer. A schematic of the process is shown in Fig. 3.37. First,
two Si wafers are thermally oxidised to leave a thin surface oxide. One wafer, the donor wafer, is
exposed to an ion beam, causing ion implantation. Typically light ions, such as H or He, are used

74
3.5. SILICON-ON-INSULATOR

to minimise damage in the subsurface Si. The ion implantation creates a damaged ‘bubble’ layer
in this wafer at the mean implantation depth of the ions. By careful regulation of the incident
ion energies, the position and thickness of the layer can be controlled. The donor wafer is then
cleaned before being bonded to the second wafer. As both wafers have a surface oxide, they are
hydrophilic and readily form covalent bonds via condensation reactions. The strength of the bond
can be enhanced by high temperature annealing which also causes cracking of the donor wafer
at the bubble layer. The final SOI wafer can then be further annealed to tune the properties of
the interface and the thickness of the BOX. Polishing is also used to give a smooth surface on
the Si-device layer. The rest of the donor wafer can then be recycled as the donor wafer or the
next bulk wafer. The SmartCutTM process is a very popular one as it has high homogeneity in the
thickness and quality of the transferred layer. It also allows for the donor wafer to be recycled
rather than being ground down as in SIMOX. Additionally, it can also be applied to a range of
different single Crystal materials [107]

F IGURE 3.38. Schematic of a SOI metal-oxide-semiconductor FET. reproduced with


permission from Celler et al. [5].

3.5.1 SOI Devices

Chips fabricated on SOI are made up of millions of isolated islands of transistors. As previously
introduced, the BOX isolates the device from the bulk substrates lowering leakage currents
(allowing for wider operating temperature ranges), and increasing radiation hardness (resulting
from isolation from photocurrents in the bulk) relative to bulk Si devices. It is also has the benefit
of simplifying lateral isolation of devices. This can be achieved by deposition of a dielectric on top
and on the sides of the devices as shown in Fig. 3.38. For Si devices, more complex fabrication is
required and uses a reveres biased junction to isolate neighbouring devices.
A downside of SOI is thermal management. The BOX has a very low thermal conductivity
(< 2 W m-1 K-1 [52]) compared to Si (∼ 149 W m-1 K-1 [24]). This can make self-heating of the
devices a problem, impacting the device lifetime and performance. It can be mitigated to some
extent for devices which have a thick Si device layer and those which operate at low voltages

75
CHAPTER 3. MATERIALS AND DEVICES

F IGURE 3.39. Schematic of a SOI lateral diffusion MOSFET with doping indicated.
Reproduced with permission from Chan et al. [170].

[5]. However, for high power, high voltage devices, such as those used for power conversion and
RF applications, this is not an option. The conventional SOI structure therefore poses an issues
for devices in these application spaces, particularly for extreme environments such as space. In
chapter 8, a new structure has been investigated to address this issue for devices deployed in
space. A common device for these applications are the lateral double-diffused MOS transistors
(LDMOS-FETs), shown in Fig. 3.39. For high voltage applications (> 600 V) these devices have a
very long drift region in the 10s of microns to increase breakdown voltage. These devices typically
have a relatively uniform temperature distribution throughout the drift region [171].

76
HAPTER
4
C
E XPERIMENTAL AND T HEORETICAL M ETHODS

n this chapter the experimental and theoretical methods employed in subsequent chap-

I ters will be explored. First, optical spectroscopic analysis will be covered before moving
onto transient thermoreflectance. This is followed by a discussion of nanoindentation and
methods for surface characterisation before moving onto microstructural and chemical analysis.
Finally, an explanation of finite element analysis for thermal simulations will be given.

4.1 Optical Spectroscopy

Optical spectroscopy is the study of the interaction of light with matter. In the investigations
reported in this thesis, two forms of optical spectroscopy have been used: Raman and photolumi-
nescence (PL) spectroscopy. These techniques investigate two different ways in which light can be
scattered by a crystal and can be used to interrogate different material properties. In both cases,
a spectrometer is used to collect and measure scattered light independently from the excitation
source. The layout of a Renishaw InVia spectrometer is shown in Fig. 4.1. In this setup, used
for both Raman and PL measurements, the laser light enters the spectrometer where the beam
diameter is increased using a Keplerian beam expander. This consists of two lenses with positive
but different focal lengths. The laser enters through the objective lens which has a shorter focal
length where it is focused down to a spot. The beam then diverges and is collimated by the image
lens. The lenses must be the sum of their focal lengths apart in order for the beam to be collimated
and the input beam must also be collimated. The change in the beam diameter is determined
by the ratio of the focal length of the image lens to the objective lens. In reality, the incoming
beam has some degree of divergence and the beam expander reduces this by the same ratio that
the output beam diameter has increased. The laser is then directed onto a dichroic mirror which
reflects the excitation laser into the microscope where it is focused with an objective lens onto the

77
CHAPTER 4. EXPERIMENTAL AND THEORETICAL METHODS

Microscope
Filters CCD Camera

Diffraction
Grating

Sample Laser
Beam
Expander

F IGURE 4.1. The layout of a Renishaw InVia spectrometer, used in this work to collect
Raman and photoluminescence spectra.

sample. This results in the emission of light from the sample which is collected by the lens and
follows the same path into the spectrometer and onto the filters. In this case, the dichroic mirror
transmits the light onto a filter. This could be a notch filter, which allows a specified wavelength
to pass through it, or a high or low pass band filter which allows all light above or below a certain
wavelength through. The purpose of these filters is to remove any excitation laser light from
reaching the detector as typical light emission being measured from the sample is very low. In a
similar vein, the spectrometer is housed within a box to reduce ambient lighting from reaching
the detector. Once past the filters, the scattered light is focused onto a slit which can be closed
to improve lateral resolution. Whilst the ideal case was discussed above in terms of collimated
beams, the reality is that there will also be some divergence. Light at the centre of the scattered
beam will be sharply focused on the slit whereas divergent light at the edges will be cut off by
the slit. Whilst this will improve the spatial resolution of the measurement, it will also reduce
the signal strength.
After the slit, the light is collimated once again by a second lens and a prism and diffraction
grating are used to spatially separate different spectral ranges of the beam. The grating is covered
in ridges or ‘lines’ with a spacing d. For different wavelengths of light, constructive interference
will occur at different angles of incidence to the grating, spatially separating the light based on its
wavelength. The dispersed light is then focused on a charge coupled device (CCD) camera. In this
device, an array of capacitors are separated spatially and act as pixels. When the measurement
begins, the shutter is opened in front of the device and photons are incident on the pixels. The
device exploits the photoelectric effect to measure the number of photons incident on each pixel.
Each photon generates a free electron, increasing the charge stored in the capacitor. At the end of
the measurement, the charge on each pixel will be measured. Photons of different wavelengths
will be incident on different pixels due to their spatial separation, allowing for the intensity of
the beam in different spectral regions to be measured.

78
4.1. OPTICAL SPECTROSCOPY

4.1.1 Raman Spectroscopy

Raman spectroscopy exploits inelastic scattering of light by matter to infer specific properties
of crystals and molecules. The nature of the Raman scattering can allow for integration of
chemical structure, composition, crystal quality, and temperature [46, 172]. In this work, Raman
spectroscopy has only been employed for the analysis of solid, crystalline materials and this
discussion will be limited as such. Raman scattering is a two photon process which results in
the creation or annihilation of an optical phonon or magnon (spin-wave). It is inelastic as the
scattered photon will have an energy different from the absorbed photon, equal to the energy of
the phonon created or destroyed.
To understand the origin of inelastic scattering, it is useful to consider the macroscopic theory
of Raman scattering [173]. As this is being approached from a classical perspective, the crystal
must be considered as an infinite continuum which has an electrical susceptibility described
by the tensor χ. When an electromagnetic field, E, is applied to this crystal a polarisation,
P is induced equal to the dot product of the susceptibility and E. If a sinusoidally varying
electromagnetic field is applied then P is given by

P = χ.E0 cos(ν0 t) (4.1)

where ν0 is the frequency of this wave and E0 its amplitude.


When considering how lattice vibrations will interact with the incident electromagnetic
wave, it is assumed that the lattice vibrations and ν0 are small compared to the electronic
frequencies which determine χ. This is known as the quasistatic approximation and means that
the deformation resulting from lattice vibrations can be treated as a static deformation of the
lattice. It is then possible to expand the tensor components as a Taylor expansion considering the
normal coordinates, Q n , of the vibration of frequency ωn at each point in time,

X ¡ ∂χij ¢ 1 X ¡ ∂2 χij ¢
χij = (χij )0 + 0Q k + Q k Q l + ..., (4.2)
k ∂Q k 2 kl ∂Q k ∂Q l 0

where (χij )0 is the electronic susceptibility of the medium with no fluctuations. The electronic
harmonic approximation can be used to simplify this, discarding any terms greater than the first
power of Q. Further simplification can be achieved when considering only a single vibrational
mode, k. These assumptions give the simplified expression for susceptibility shown in Eq. 4.3,

¡ dχ ¢
χ = χ0 = Qk. (4.3)
dQ k

Treatment of the vibration as a harmonic oscillator leads to the following time dependency
for χk ,
¡ dχ ¢
χk = χ0 + Q k0 cos(ωk t + δk ), (4.4)
dQ k

79
CHAPTER 4. EXPERIMENTAL AND THEORETICAL METHODS

where δk is some arbitrary phase. By substitution of this into Eq. 4.1, the time dependence of the
polarisation is derived,

¡ dχ ¢
P = χ0 E0 cos(ωk t + δk ) cos(ν0 t) + Q k0 E0 cos(ωk t + δk ) cos(ν0 t)
dQ k
(4.5)
¡ dχ ¢ 1
= χ0 E0 cos(ωk t + δk ) cos(ν0 t) + Q k0 E0 [cos((ν0 − ωk )t − δk ) + cos((ν0 + ωk )t + δk )],
dQ k 2

recalling that ν0 is the frequency of the incident electromagnetic field and ωk is the frequency of
the lattice vibration. Examination of Eq. 4.5 reveals that there are three frequency components
for the scattered light with frequency ν1 :

1. Elastic scattering where ν1 = ν0 ;

2. Inelastic scattering where ν1 = ν0 − ωk ;

3. Inelastic scattering where ν1 = ν0 + ωk .

If higher order terms were included in the Taylor expansion (Eq. 4.2) further scattering mecha-
nisms would be included. These involve scattering by two or more vibrations. It is worth noting
that not all vibrations will be Raman active. It is necessary for the derivative of the susceptibility
with respect to the normal coordinate to be non-zero. Hence, by varying the polarisation and
angle of incidence of the incident light with respect to the crystal axes, different vibrations can be
investigated using Raman spectroscopy.
It is useful to now return to a quantum picture to understand these different scattering
mechanisms. This is aided by examining the simple energy level diagram in Fig. 4.2. An absorbed
photon of energy hν0 excites an electron into the virtual excited state. In pure elastic scattering,
the excited electron would relax back to the ground state by emitting a second photon of energy
hν0 . For Raman scattering, the emission of a photon during relaxation is accompanied by the
creation (Stokes scattering) or annihilation (anti-Stokes scattering) of phonon causing a decrease
or increase in photon frequency respectively. For measurements carried out at room temperature,
Stokes scattering is dominant as there are few thermal phonons excited to be annihilated (see
chapter 2.1).
First-order Raman scattering follows two selection rules,

ν0 = ν1 ± ω k ,
(4.6)
k0 = k1 ± K,

where k0 and k1 are the wavevector of the incident and scattered photons whilst K is the
wavevector of the phonon created or destroyed [46]. These are simply a statement that energy
and momentum must be conserved. However, further examination reveals interesting facts about
Raman scattering. First, it can be seen that the maximum wavevector phonon which can be
created is twice the incoming photon wavevector and is achieved when the scattered photon is

80
4.1. OPTICAL SPECTROSCOPY

F IGURE 4.2. Energy level diagram demonstrating elastic, Raleigh scattering and in-
elastic Raman scattering, both Stokes and Anti-Stokes. Red wavy lines represent
photons of energy hνn whilst horizontal lines represent electron energy levels. The
vibration excited state represents creation of a phonon of energy hω.

back-scattered. Considering incident light typical in Raman scattering experiments (Wavelength,


λ = 500 nm), the incident photon has a wavenumber inside the crystal of the order 2 × 105 cm-1
(k = 2π × refractive index × λ1 ). For the backscattered photon, this leads to a phonon of wavevector
on the order of 4 × 105 cm-1 . Comparing this to the maximum allowed wavevector in the Brillouin
zone of π/a ≈ 1 × 108 where a is the lattice constant (see chapter 2.1) it can be seen that all
phonons involved in Raman scattering have a very long wavelength compared to the unit cell.
This means that only phonons close to the Γ point in the Brillouin zone are probed during a
Raman scattering experiment, leading to the approximation that K = 0. This brings about the
distinction between Raman scattering, the inelastic interaction between optical phonons and
light, and Brillouin scattering, the interaction between acoustic phonons and light. Near the
Γ point, acoustic phonons have very low vibrational frequency meaning the frequency shift in
scattered light is small compared to Raman.
The width of the observed peak is directly related to the crystal quality of the sample. For a
perfect crystal, the peak observed in Raman spectroscopy would have no width as all phonons
of a particular mode excited or destroyed would have the same energy. However, for material
with lower crystal quality, there will be a dispersion in terms of phonon mode energies as a
result of decreased symmetry. Hence, the Raman peak can be used to investigate the material
quality. The Raman shift is also sensitive to strain and temperature within the crystal. This can
be understood by once again imagining the crystal as point masses (atoms) on springs (chemical
bonds). Changes in temperature and residual strain in the crystal will affect the spring length
and spring constant, affecting the resonant frequency at which they vibrate. In this way, changes
in temperature and residual strain will affect the frequency of different phonon modes, changing
the observed Raman shift. By measuring crystals at known temperature, or under known residual
strain, calibration constants can be obtained relating the temperature, or strain, of the crystal to
the frequency shift in the Raman peak of different phonon modes.

81
CHAPTER 4. EXPERIMENTAL AND THEORETICAL METHODS

4.1.2 Photoluminescence Spectroscopy

Photoluminescence spectroscopy of semiconductors also exploits inelastic scattering of light.


Typically (although not always), a monochromatic source of photons is directed on the sample.
If the photons have energy in excess of the material’s bandgap, they will cause the excitation
of an electron from the valence band into the conduction band, resulting in the formation of a
free electron and a hole [174]. The free electron quickly relaxes to the conduction band edge via
scattering by phonons. Once at the band edge, the electron can radiatively recombine with the
hole, emitting a photon of energy equal to the bandgap of the semiconductor. Other processes
could also occur such as non-radiative decay by emission of phonons, passing through trap states
within the bandgap, or passing energy onto another electron (Auger recombination).
Studying the bandedge emission can provide valuable insight into the material being studied.
For instance, the bandgap is sensitive to any strain within the crystal. Many experiments have
been undertaken on different materials to investigate how the bandedge emission varies for
crystals with different degrees of strain [175]. These experiments typically introduce strain in the
crystal by the use of pressure cells so that the applied stress (and therefore induced strain) can be
carefully controlled. Alternatively, a variety of crystals with different in-built strain are measured.
In either case, a calibration factor for peak shift versus strain can be calculated. Similarly, the
bandgap has some temperature dependence. In a similar manner to Raman thermography, the
shift in the bandedge peak can be calibrated against known temperatures and subsequently used
as a thermometer to measure the near-surface temperature of the crystal. When carrying out
these measurements, it is important to recall that the values calculated will be averaged across
the area of the excitation laser spot as well as by the optical penetration depth of the excitation
laser.

4.2 Transient Thermoreflectance

Transient thermoreflectance is an optical technique for measuring the thermal properties of


materials. It exploits the fact that the refractive index of a material is temperature dependent, a
result of thermal expansion and changes in the electrical polarisability of the material [176]. For
materials with a positive coefficient of thermal expansion, increases in temperature result in a
lowering of density and increased interatomic spacings. This results in a decrease of the refractive
index. Simultaneously, the polarisability of atoms within a crystal varies with temperature. If
this increases, so too will the refractive index. The nature of the thermo-optic coefficient therefore
varies from material to material, depending on thermal expansion and polarisaibility, and will
also vary for different wavelengths of light. However, over small temperature changes, the change
in refractive index with respect to temperature is linear for all materials and wavelengths.
Changes in the refractive index directly result in variations in the reflectivity, as described by
the Fresnel equations [177]. For s and p polarised light, the reflectivity of a surface is given by

82
4.2. TRANSIENT THERMOREFLECTANCE

the following equations,


´2 ¯2
¯ r ¯
¯ ³
n1
¯ n 1 cos θ i − n 2 1 − n2 sin θ i ¯
¯ ¯
Rs = ¯ ´2 ¯¯ ,
¯ ¯
r ³
n1
¯
¯ n cos θ + n
2 1 − n 2 sin θ i
¯
¯ 1 i ¯
¯ r ¯2 (4.7)
¯ ³ ´2 ¯
n1
¯ n 1 1 − n2 sin θ i − n 2 cos θ i ¯
¯ ¯
Rp = ¯ r ¯ .
¯ ¯
³ ´2
n1
¯ ¯
¯ 1 1 − n2 sin θ i + n 2 cos θ i ¯
¯n ¯

Here, θ i is the angle of incidence of the incoming light, n 1 is the refractive index of the medium
from which the light originates and n 2 is the refractive index of the material which the light is
incident at. For thermoreflectance, the angle of incidence is 0° and n 1 is the refractive index of
air, assumed to be temperature independent. Hence, these equations become,

¯ 1 − n 2 ¯2
¯ ¯
Rs = ¯ ¯ ¯ ,
1 + n2 ¯
(4.8)
¯ 1 − n 2 ¯2
¯ ¯
R p = ¯¯ ¯ .
1+n ¯ 2

For typical thermo-optic coefficients of metals (∼ 10−4 K-1 [178]), the change in reflectivity is
linearly proportional to the change in refractive index which is linearly proportional to the
temperature change of the material.
This opens opportunities to track the surface temperature of a material purely by the reflected
intensity of a light source. It is important that this ‘probe’ light source is monochromatic as
the refractive index and thermo-optic coefficient of a material are wavelength dependent. The
rate of change of reflectivity with respect to temperature of some relevant materials is shown in
Fig. 4.3 at a range of wavelengths. This phenomenon, known as thermoreflectance, has found
application in device thermography where the reflected intensity of a laser from a device is
calibrated at known temperatures [179]. During device operation, this can be used to probe the
surface temperature of the device with sub-micron lateral resolution, limited by the diffraction
limit of the probe laser and the lateral stepping of the laser. Whilst this technique does not allow
for direct elucidation of the thermal properties of the materials used, it is useful in measuring
the operating temperatures of devices and, when combined with device simulations, could offer
a route for investigating material properties. There are a range of transient techniques which
can be used to investigate directly the thermal properties of materials and the properties of
multi-layer stacks of different materials. These are ‘pump-probe’ techniques which use two lasers,
typically spectrally separated. The probe laser is usually a low-power laser, either continuous
wave (CW) or pulsed, which is used to monitor the surface reflectivity of the material being
investigated, similar to the probe laser described for thermoreflectance imaging. The pump
laser is a higher-powered pulsed laser which is typically concentric with the probe laser and is
used to periodically heat the sample. This results in a change in the reflectivity of the surface

83
CHAPTER 4. EXPERIMENTAL AND THEORETICAL METHODS

F IGURE 4.3. The rate of change of reflectivity with respect to temperature of some
common metals used as transducers in thermoreflectance experiments at a range
of wavelengths. Solid lines indicate positive value and dashed are negative. Mark-
ers indicate measured values using time-domain thermoreflectance where filled
indicates measured negative values and open positive. The green line is for a Au
film, black Al, red Ta, and blue Pt. Reproduced with permission from Wilson et al.
[178].

which changes as the temperature decays. By monitoring the change in surface reflectivity, the
temperature decay can be inferred. From the nature of this decay, the thermal properties of the
underlying material can be calculated. It is important to note at this point that the fundamental
property being investigated here is the thermal diffusivity of a material, α, which is given by the
κ
expression ρc
where κ is the material’s thermal conductivity, ρ is its density, and c is its specific
heat. The relative sensitivity to these properties depends on the pulse length of the pump laser
and the relative size of the pump spot to the probe spot. The pulse length of the pump laser is
important in determining the thermal penetration depth of the pump laser and hence the depth
investigated using the technique.
Another factor which must be considered for thermoreflectance is anisotropy in the thermal
conductivity of any materials investigated. Different configurations can affect the relative sensi-
tivity to the in-plane and cross-plane thermal conductivity of a material as shown in Fig. 4.4. For
instance, in the scenario of a small probe spot focused in the centre of a much larger pump spot,
the sensitivity to the cross-plane thermal conductivity will be enhanced whilst the sensitivity
to the in-plane is reduced. This is because at the centre of the pump spot, lateral heat flow is
reduced and vertical heat flow dominates. However, if the probe spot were off-set from the centre
of the pump, the sensitivity to the in-plane thermal conductivity will increase due to the relative
increase in lateral heat flow nearer the edge of the pump. Varying the position and relative size
of the pump and probe lasers is a common method for investigating both the cross- and in-plane

84
4.2. TRANSIENT THERMOREFLECTANCE

(a) (b)

F IGURE 4.4. (a) shows how the heat diffusion varies for a large pump spot and a small
pump spot size. For a probe laser focused at the centre of these spots, the amount
of lateral and vertical heat transport experienced will vary significantly. This is
demonstrated in (b), measured thermoreflectance signal from a ZnO samples. These
measurements were carried out using conventional time-domain thermoreflectance
using a pump modulation frequency of 1 MHz. For the small pump spot size, there
is much greater sensitivity to the in-plane (Kr ) whereas the large pump spot is only
sensitivity to the cross-plane (Kz ). Reproduced with permission from Jiang et al.
[180].

thermal conductivity of a material [180].

A typical time-domain thermoreflectance (TDTR) setup utilises a femtosecond or picosecond


pulsed pump laser. This laser is generally split in two: one beam is frequency modulated, as
shown in Fig. 4.5 and Fig. 4.6, before reaching the sample surface [180]. The other beam is
generally frequency doubled to become the probe laser. The spectral separation of the two lasers
is important for detection as it allows the use of band-pass filters to remove the pump laser prior
to detection. The probe laser has a mechanical delay stage in its path to the sample. This allows
for a temporal separation from the pump beam, meaning that different points on the temperature
decay curve can be probed, demonstrated in Fig. 4.5. The probe is often also modulated to allow
for the use of lock-in amplification to increase the signal to noise ratio. This has the added benefit
of being able to separate background heating occurring from multiple pump pulses (which will be
measured as an out of phase signal) from the transient heating occurring from a single pulse
(measured in-phase signal). The ratio of these two signals is used to investigate the thermal
properties of the stack, giving information of how heat diffuses over short time-scales (in-phase
signal) and over longer time scales (out of phase signal). It is also possible to vary the modulation
frequency of the pump laser and investigate how the temperature decay changes at a single
point in time, a technique called frequency-domain thermoreflectance. Varying the modulation
frequency affects the thermal penetration depth investigated by the out of phase signal and

85
CHAPTER 4. EXPERIMENTAL AND THEORETICAL METHODS

F IGURE 4.5. A common time-domain thermoreflectance setup. A fs pulsed laser is split


in two using a Polarising Beam Splitter (PBS). One of the resulting beams (the
pump beam) is frequency modulated using an Electro-Optic Modulator (EOM) or
acousto-optical modulator. before being focused on the sample of interest. The other
beam (the probe beam) is often frequency doubled (not shown in the diagram)
before a mechanical delay stage is used to change the length of the beam path,
separating it temporally from the pump beam. It can be frequency modulated at
low frequency using a mechanical chopper to aid with lock-in detection before it
is combined with the pump beam on the sample surface. The reflected beam is
filtered so only reflected probe beam progresses (either spatially or spectrally) and
is focused on a photodetector. Reproduced with permission from Jiang et al. [180].

varies the sensitivity to different properties. These two techniques are complimentary and allow
for tuning of sensitivity to different material properties and different penetration depths. Most
often both techniques use a thin (∼ 100 nm) thick metal transducer on top of the material of
interest. This is useful for two reasons: it allows for the use of sub-bandgap pump lasers as it can
absorb heat from infra-red lasers and it offers a highly reflective surface for the probe laser.
The configuration of transient thermoreflectance used in this work is a technically still TDTR.
However, to distinguish it from the instrument design described above, it will be known as
transient thermoreflectance (TTR). The optical layout is shown in Fig. 4.7. It uses a 1 ns pulsed,
passively Q-switch 355 nm pump laser to periodically heat the sample surface. A CW, low power
(<15 mW), 532 nm probe laser is used to track the reflectivity of the surface, in exactly the same
manner as described for the TDTR, although in this case no delay stage is required and lock-in
amplification is not used. A balanced photo detector is used to record the probe signal using
an oscilloscope. A photodetector is a device for converting an optical signal into an electric one.
Typically a reverse biased Si p-n (or p-i-n) diode is used. When a photon hits the photodiode, an
electron-hole pair is generated which, under the reverse bias conditions, creates a current. The

86
4.2. TRANSIENT THERMOREFLECTANCE

(a)

(b)

(c)

F IGURE 4.6. Schematics of how a conventional pulsed pump, pulsed probe TDTR
setup interrogates surface temperature change. (a) shows how the pump laser is
modulated. In reality, this is a square-wave modulation but for the purposes of lock-
in amplification, it is represented by a sine wave. (b) shows how the temperature
changes as a function of time for the same pump laser as represented in (a). Also
marked are the points probed by the probe laser which have a time separation of
t d from the pump laser. (c) shows the results from the lock-in detection. Signal in-
phase with the pump laser modulation arises from heating from individual pulses
whilst changes in signal which are out of phase are the result of accumulated
heating arising from multiple traces. Reproduced with permission from Jiang et al.
[180].

87
CHAPTER 4. EXPERIMENTAL AND THEORETICAL METHODS

current can be measured, converting the optical signal into an electronic one [181]. A balanced
detector, as used here, helps reduce the noise of the recorded signal by having a second channel
which measures the intensity of a reference beam from the same light source. This comes directly
from the laser and has a similar path length to the signal beam. The reference input is subtracted
from the signal input, removing systemic noise arising from noise in the laser. It is important that
the optical power of the reference beam and the signal beam are equal prior to any measurement
being taken to help improve this noise. In the setup shown in Fig. 4.7 the probe laser beam is
split in two based on its polarisation at the Polarising Beam Splitter (PBS). Approximately half
the light follows the reference path straight to the detector whilst the other half is focused on the
sample to a spot with an objective lens. The sample beam passes through a quarter waveplate
twice, once on its way to the sample and again when reflected back. This rotates the polarisation
of the probe beam by 180° meaning that it is now reflected at the PBS. From here, the beam is
reflected onto the balanced detector. In both cases, optical density filters are used to fine tune
the optical power reaching the detector to balance the input signal. The balanced signal is then
measured using an oscilloscope. The path of the pump laser, shown in purple in Fig. 4.7, is
more straightforward. The beam first enters a Galilean beam expander. This uses a negative
focal length objective lens followed by a positive focal length image lens to expand the beam to
approximately 0.5 mm across which remains slightly divergent. The beam is then reflected onto
a dichroic mirror where it is combined with the probe laser. As the beam is divergent and the
objective lens before the sample is not achromatic, the focal point of the probe laser and pump
laser are different. This is exploited to give a pump spot size on the order of 100 μm in diameter
1
in the focal plane of the probe laser (which has a e2
spot diameter of ∼1 μm). A second detector is
used to detect diffuse scattering of the pump laser which is used to trigger the oscilloscope. The
resulting reflectivity/temperature transient is then recorded on the oscilloscope, averaging over
65,536 waveforms (the maximum possible using a 16 bit oscilloscope).

For this instrument, a transducer is not a necessity, especially when measuring directly on
GaN or AlGaN. The above bandgap pump laser is absorbed directly by and heats the GaN whilst
the thermo-optic coefficient of GaN at 532 nm is high [40]. However, secondary reflections at
buried interfaces where there is a high refractive index change (such as GaN-diamond interfaces)
can interfere with the resulting signal, making measurements impossible [182] whilst diamond,
which has a wider bandgap of 5.5 eV, would not be readily heated by this pump laser. To negate
these issues, and increase the reflectivity of rough samples such as as-grown polycrystalline
diamond, a Au transducer has been used for all measurements. Gold has been shown to have a
high thermo-optic coefficient at 532 nm so is perfectly suited for this system (see Fig. 4.3) [178].
The use of a transducer layer does introduce a further complication to any system studied as
the thermal properties of the Au layer, as well as the interface between the Au and material of
interest, must be accounted for. In all the materials measured as part of this thesis, an additional,
10 nm thick Cr layer was introduced between the Au and the material under investigation. This

88
4.2. TRANSIENT THERMOREFLECTANCE

Quarter-waveE
SampledE WhiteElight plateE
Beams LED PolarisingE
BeamESplitterE
Beam
Sampler CameraE Half-waveE Key
plateE
TriggerE GraduatedEOpticalE
Detector DensityEFilterE

ReflectedE
Sample BalancedE ProbeELaser
Detector
ReferenceE
PumpELaser ProbeELaser

BeamE Mirror
Expander
WhiteELight
Flip ProbeE
Mirror Laser Lens

Long-Pass Oscilloscope
DichroicE
Mirror

F IGURE 4.7. Schematic of the beam path and optical elements used in the transient
thermoreflectance.

layer readily wets most materials and acts as an adhesion layer, without which the Au quickly
peels off.
Once the temperature transient is collected, an analytical model must be used to extract
unknown thermal properties. In order to do this, the heat diffusion equations must be solved
and unknown parameters must be varied to fit the analytical model to the experimental data.
As the experimental data is a reflectivity trace rather than a temperature trace, it is necessary
to normalise the data. In this respect, the normalised reflectivity trace will be equivalent to the
normalised temperature trace as the reflectivity is linearly proportional to the temperature [157].
The analytical model used to analyse the experimental data is the transmission-line axisymmetric
thermal model. This solves the transient heat transport equations by making use of the analogy
between Fourier’s equations of thermal transport and Ohm’s law [183, 184]. This allows for the
an analytical solution in a closed form for the temperature rise on the surface of a multilayer
material which is exposed to surface heating. The temperature rise of the surface is given by the
equation,
µZ ∞³ ³ ´´¶
∆T(r, t) = L−1 Q(q 0 , w0 , t 0 , β, s) × Z((κ i , d i , ρ i , c i ) ii=
=1
n
, β, s) × J0 (r, β) × β d β (4.9)
0

where L−1 is the inverse Laplace transform, and the integral corresponds to the inverse Hankel
transform. The variable s is frequency, resulting from the Laplace transform from the time-
domain to the frequency domain whilst β is the transformed spatial variable which occurs when
a Hankel transform is performed. These two transformations are necessary to allow for the

89
CHAPTER 4. EXPERIMENTAL AND THEORETICAL METHODS

solution of the linear heat conduction equation as linear algebraic equations rather than partial
differential equations. The other variables are the Laplace/Hankel transformed heating from the
laser pulse, Q, which is a function of the pulse energy, q 0 , the pump spot spatial full width half
maximum, w0 , and the pump pulse temporal full width half maximum, t 0 . In Eq. 4.9, Z is the
Laplace/Hankel transformed thermal impedance of the whole stack of n layers. This is a function
of each layers’ thermal conductivity, κ, thickness, d, density, ρ , and specific heat capacity, c. The
final term, J0 is the Bessel function of the first kind of zero order.
The heating induced by the laser spot is modelled as having a Gaussian distribution both in
time and in space. The Hankel/Laplace transform of this is given by
³ 2 2 ´³ ³ 22
w β s t 0 −8 st peak
´ ³ ´´
st 0 −4 t peak / t 0
0.44 × q 0 w02 exp − 08 exp 8 erfc p
2 2
Q(q 0 , w0 , t 0 , β, s) = (4.10)
4t 0
where t peak is the temporal centre of the laser pulse. The transformed thermal impedance of the
stack depends on all the parameters specified above as well as the thermal impedance of the
each of the ith layers below it. Therefore, to calculate the thermal impedance of the whole stack,
it is necessary to calculate it recursively starting from the bottom of the stack where i = 0 and
progressing to the top where i = n. The bottom layer of the stack is assumed to be a perfect heat
sink which has no thermal impedance. The recursive formula is given by

Z((κ i , d i , ρ i , c i ) ii= n
=1 , β, s) = Ẑ(κ n , ρ n , c n )×
Z κ i , d i , ρ i , c i , β, s + Ẑ κn , ρ n , c n × γ κn , d n , ρ n , c n
¡ ¡¡ ¢ ¢ ¡ ¢ ¡ ¢¢
(4.11)
³¡ ¢ i=n−1 ´
Ẑ κn , ρ n , c n + γ κn , d n , ρ n , c n × Z κ i , d i , ρ i , c i i=n , β, s
¡ ¢ ¡ ¢

where Ẑ κn , ρ n , c n and γ κn , d n , ρ n , c n are given by


¡ ¢ ¡ ¢


0,
 n=0
Ẑ(κn , ρ n , c n ) = µq ¶−1 (4.12)
 κn ρ n c n s + κ2n β2
 , n≥1

ρn cn s
µ r ¶
γ(κn , d n , ρ n , c n ) = tanh d n + β2 . (4.13)
κn
The integral is solved numerically using the global adaptive quadrature method. The inverse
Laplace transform is evaluated using the Gaver-Stehfest method described by Kuhlman et al.
using N = 18 [185].
Unknown thermal properties of layers in the stack can be calculated if the majority of the
parameters are fixed and known. This is carried out using a non-linear least squares fitting
process, minimising the following
kX
=M µ ¯ xk ¯ 2
¯ ¯¶
y= ln ¯¯ ¯ (4.14)
k=1 Xk ¯

where there are M points in the fitted trace, xk is the kth measured normalised temperature
point in the trace, and X k is the equivalent for the calculated trace. This expression is minimised

90
4.3. NANOINDENTATION

F IGURE 4.8. Schematic of the process of nanoindentation (a) and a resulting load-
displacement curve (b). The applied load is P, the contact depth is h c , the projected
area of contact is A p , and S is the sample stiffness. Reproduced with permission
from Hu et al. [186].

using a global search processes with an interior point algorithm. This evaluates multiple points
on the response surface of Eq. 4.14 in relation to the fitted parameters, evaluating the basin of
attraction at different points. In this way, the chances of arriving at a global minimum rather
than a local minimum are increased, resulting in reliable fitted parameters. For parameters
where there is little sensitivity, the response surface will be flatter, making it more difficult to
evaluate whether the solutions are in a global minimum.

4.3 Nanoindentation

Nanoindentation is a technique which was developed to investigate the mechanical properties


of materials near the surface with a lateral resolution on the order of micrometres and a depth
resolution on the order of 100s nm [186]. Indentation based tests have existed for over a hundred
years for the measurement of hardness. These early measurements involved indenting the test
sample with a probe of known material properties and geometry and examining the geometry
of the resultant indent [186]. More recently, the development of actuators and sensors which
allow for careful control of the displacement and forces applied during indentation has lead
to a boom in the use of nanoindentation to characterise material properties of bulk materials,
nanopatterned materials, and thin films. In nanoindentation, a tip of known geometry with a
radius on the order of 100s nm (typically made of single crystal diamond) is pressed into a sample
whilst the displacement and applied load are carefully monitored and controlled. The resulting
load-displacement curve can be used to investigate the mechanical properties of the surface on
the micro to nanoscale. A schematic of this process and resulting load-displacement graph is
shown in Fig. 4.8.
The high degree of control over forces and displacements in a nanoindenter arises from the
development of electrostatic force actuation. In older machines, the applied force was generated

91
CHAPTER 4. EXPERIMENTAL AND THEORETICAL METHODS

F IGURE 4.9. Schematic of the electrostatic control of a Hysitron TL Premier nanoinden-


ter. Reproduced from [188].

using a coil inside a permanent magnet. An alternating electric current was driven through
the coil, resulting in the generation of a magnetic field. This magnetic field interacted with the
field of the permanent magnet, generating the force to actuate the indenter. The force generated
scaled linearly with the applied current over a wide range of displacements, into the mm range,
equating to forces up to a few Newtons. However, the Joule self-heating induced in the coil
introduced significant thermal drift in the instrument, preventing close control of displacements
and forces [187]. To overcome this issue, electrostatic control was developed. This uses a three-
plate capacitor to control the force and displacement of the tip, shown in Fig. 4.9. The middle
plate carries the nanoindenter and is left floating. An alternating current is applied to the top
and bottom plates, keeping the middle plate fixed. To lower the tip, an additional direct current
offset is applied to the bottom plate, changing the voltage between the middle and bottom plates.
This results in electrostatic attraction of the middle plate to the lower plate. The force generated
is directly proportional to the square of the voltage between the middle and lower plates whilst
the capacitance between the middle plate and one of the others can be used to read, with high
accuracy, the displacement of the middle plate and the indenter.

The most common properties measured by nanoindentation are the sample hardness and
elastic modulus which can be readily obtained from the load-displacement graph generated
during indentation. The elastic modulus of the sample can be deduced from the linear portion of
the unloading curve, highlighted in Fig. 4.8. The reduced modulus (E r ), a value convoluted with

92
4.3. NANOINDENTATION

the tip’s modulus, is given by the expression


s
S π
Er = . (4.15)
2β A p (h c )

In this equation, S is the stiffness of the material, characterised using the load-displacement
graph as shown in Fig. 4.8, β is a constant relating to the geometry of the tip, A p (h c ) is the
projected area of contact between tip and sample at the contact depth h c given by

3P max
h c = h max − . (4.16)
4S
The reduced modulus is related to the sample’s elastic modulus, E, by the following
2
1 1 − v2 1 − v i
= + . (4.17)
Er E Ei

where v is the Poission’s ratio and any terms with a subscript i are related to the indenter. As
the indenter is nearly always made of diamond, its properties are well documented allowing for
calculation of the samples modulus. The hardness of the sample is also related to the projected
area of contact between tip and sample and is given by the ratio of the maximum applied load to
the projected area.
It is vital that the shape of the tip is well defined in order to calculate the contact area as a
function of indentation depth. Common indentation geometries are shown in Fig. 4.10. Often, the
ideal tip would be perfectly sharp conical tip, particularly for the applications covered in chapter
5. However it is difficult to fabricate tips which are regular with a small radius of curvature.
For this reason, they are not suitable for indentation of thin films as a large penetration depth
is required to increase the contact area. More commonly used are the pyramidal Berkovich tip
and the four sided Vickers indenter. These geometries can be machined with high precision with
very small radii of curvature (<200 nm) making them well suited for indentation of thin films. In
the experiments performed here, a Hysitron Berkovich tip was used with a total included angle
(angle from the plane to the edge) of 142.3° and a half angle (the angle of the right hand face to
to the normal of the centre vertex in Fig. 4.10) of 65.35°.
Nanoindentation is one of the main experimental methods used in chapter 5. However, it is
not used in the manner described above. Instead, the high control over and sensitivity to the
forces and displacements exerted and experienced by the indenter are used to introduce stresses
in a thin film. These stresses result in delamination of the film and the resulting blistered area
can be used to calculate the interfacial toughness, a practical work of adhesion, between film and
substrate [190]. A thorough exploration of this technique is given in chapter 5. However, it is
imperative that the displacement of the indenter is well controlled to ensure that the indented
volume remains within the film. The nanoindenter used in this work, shown in Fig. 4.11, was
a Hysitron TI premier equipped with an automated x-y stage and a granite, anti-vibration
mounting.

93
CHAPTER 4. EXPERIMENTAL AND THEORETICAL METHODS

F IGURE 4.10. Common nanoindentation tip geometries: (a) conical, (b) Berkovich, and
(c) Vickers. Reproduced with permission from Sakharova et al. [189].

F IGURE 4.11. The Hysitron TI Premier Nanoindenter used in this work.

94
4.4. TOPOGRAPHICAL MAPPING

4.4 Topographical Mapping

This section will introduce the two methods used for topographical mapping of GaN-on-diamond,
documented in chapter 5. These techniques have been used for imaging of blisters on the GaN
surface which are on the order of 10 μm across and 100s of nm tall. First, atomic force microscopy
is introduced followed by optical profilometry using white light interferometry.

4.4.1 Atomic Force Microscopy

Atomic force microscopy (AFM) is a type of scanning probe microscopy, differing quite significantly
from conventional optical and electron microscopy. Whereas these two methods focus either light
or electrons on a surface and image the reflection, AFM uses a probe to ‘feel’ the surface of the
sample being investigated [191]. This provides direct information about the surface topology
whereas both optical and electron microscopy require images to be taken from multiple angles in
order to obtain the same information. In its most simple format, a sharp probe, with a tip radius
on the order of <10 nm across, is brought into contact with the surface. The tip is attached to a
cantilever which is controlled by a piezoelectric motor, allowing careful control of the force applied
to the tip or its height. In the simple mode described here, known as constant-force contact mode,
the force applied to the tip is kept constant as it is rastered across the surface. Changes in the
surface topology will result in a deflection of the tip. By measuring the deflection of the tip, the
force acting on the tip by the sample can be calculated and from there, so too can its height. The
relationship of tip deflection to tip to surface distance is shown in Fig. 4.12. It can be seen that as
the tip approaches the surface, it is first repelled before being attracted. Once past a certain point,
the tip and surface once again repel one another. Typically, the deflection is measured optically
by the means of a laser focused on the reflective backing of the tip cantilever. The reflected beam
of the laser is focused at the centre of a quadrant detector, a detector made up of four photodiodes
(Fig. 4.13). By tracking the measured signal of the four diodes, the position of the reflected beam
can be monitored which is directly related to the deflection of the tip.
Constant force contact mode was the first developed and the most simple to understand.
It can give very high resolution images if the process parameters are correctly optimised for
the materials being studied. However, it suffers from a number of limitations. The constant
contact of tip to sample can result in large attractive or repulsive forces acting on both which
can damage either or both sample and tip. In addition, the forces acting on the tip are not
purely normal to the surface. Lateral forces also act on the tip as it rasters across the surface,
convoluting the deflection-topology relationship. The nature of the surface can also play a large
role in the measured signal. Different chemistry or mechanical properties will result in different
deflection of the tip for equivalent height. To overcome this, a range of oscillation modes have
been developed. Rather than always being in contact with the surface, a piezoelectric motor
causes the cantilever to oscillate close to its resonant frequency. As it approaches the sample, the

95
CHAPTER 4. EXPERIMENTAL AND THEORETICAL METHODS

Fixed-forceMcontactM
TappingMmodeM(largeMtipMoscillations)M
modeMaroundMhere
exploresMaroundMhere

Force/Deflection
Repulsive

0
Tip-surfaceMDistance
Attractive

MeasuredM
Curve

Non-contactMmodeM
(smallMtipMoscillations)M
exploresMaroundMhere
F IGURE 4.12. Schematic of the relationship between the deflection of an atomic force
microscopy tip and the tip to surface distance. Highlighted are the different portions
of this curve explored by different modes of operation. Red indicates the portion
explored for constant force, contact modes. The green and blue curves indicate the
regions explored by non-contact and tapping modes respectively. The sine waves
are indicative of the nature of the tip oscillation in these modes. Adapted with
permission from Eaton et al. [191].

attractive/repulsive forces will alter the phase and amplitude of this oscillation. The movement of
the tip perpendicular to the surface as it scans almost eliminates the lateral forces and it allows
for more accurate measurement of abrupt changes in sample height.
If the oscillations are kept small, the tip can be kept far from the sample in a mode called
non-contact . The attractive forces which occur at the distances from the sample explored in this
mode result in changes in the phase of the oscillation of the tip. These can be read using the
detection system outlined previously, shown in Fig. 4.13. The changes in phase can be related to
the forces acting on the tip and hence, the surface topology. Non-contact modes are particularly
important when handling delicate samples as the forces acting on tip and sample are very small.
In this way, the tip can be prevented from destroying the sample and affecting the measured
topology. However, this mode is sensitive to any layers adsorbed on the surface. Impurity layers
are present on most samples measured in ambient conditions, resulting from adsorption of water
and other molecules from the air. These layers will impact on the measured topology so surface
preparation is key in non-contact AFM and it is commonly carried out in vacuum to prevent them
forming. As the forces experienced are small the change in amplitude of the tip-oscillations are
also small.
If the tip oscillates with a larger amplitude it operates in tapping mode, making contact with

96
4.4. TOPOGRAPHICAL MAPPING

Lateral Bending
Photodetector No Bending Vertical Bending
(twisting)

A B
C C

F IGURE 4.13. Schematic of a quadrant photodiode detector used to detect the deflection
of the AFM tip and cantilever. Reproduced with permission from Eaton et al. [191].

the sample surface. The tip experiences both attractive and repulsive forces from the surface
and these are larger than in non-contact mode. Hence, it is a more destructive technique. For
robust samples this is not an issue and tapping mode is the most popular technique due to its
ease in implementation. Additionally, the larger forces mean that adsorbed contaminants are
less of an issue than for non-contact mode. Hence, less stringent sample preparation is required
and experiments can be easily undertaken under ambient conditions. Rather than measuring the
phase shift in the oscillation signal, the change in amplitude is commonly measured.
In general, AFM offers an excellent route for measuring the surface topology with nanometer
lateral and vertical resolution even under ambient conditions. However, measurements are very
slow. For instance, each image collected in chapter 5, around 20 μm2 area, took over 30 minutes
to collect, making collection of large data sets prohibitively slow. High speed AFM techniques
have developed rapidly over the last ten years and offer a route for true statistical analysis at the
nanoscale. However, these machines are expensive and are not yet fully commercialised [192].
For some applications, the high resolution offered by AFM is not required and alternative, faster
methods, may be more applicable. In this work, a Bruker Dimension Edge AFM (Fig 4.14) has
been used with NuNano Scout 350 Si AFM tips. This machine has a granite mounting to reduce
vibrations and uses a patented ‘Peak Force Tapping’ mode. This works in the same way as the
tapping metrology described above and the peak force is measured directly from the deflection of
the cantilever. This enables a real-time feedback loop to prevent excessive force being used which
could damage sample and tip.

4.4.2 White Light Interferometry

White light interferometry (WLI) is an alternative method for surface profilometry. It exploits the
ability of two electromagnetic waves to interfere with one another depending on their relative
phase. If the two waves are in phase with one another they interfere constructively, resulting in
a single wave with larger amplitude. However, if they are perfectly out of phase, an nπ phase

97
CHAPTER 4. EXPERIMENTAL AND THEORETICAL METHODS

F IGURE 4.14. The Bruker Dimension Edge AFM used in this work.

shift where n is an integer, they will destructively interfere resulting in zero intensity. Typically,
interferometers uses a single source of light which is split into two. One beam path is well
characterised and static whilst the second is varied. Interferometers have application in a host of
different scientific disciplines ranging from the detection of gravitational waves in cosmology to
the characterisation of optical elements [193].
The use of WLI for surface profilometry is very popular as an alternative to contact methods
such as AFM. It is truly non-contact meaning it is ideally suited for delicate semiconductor device
structures and biological samples [194, 195]. A simple schematic of a WLI utilising a Michelson
interferometer (a fixed reference mirror) is shown in Fig 4.15(a). A white light source is split in
two by a beam splitter. Half the beam is hits a reference mirror and is then focused onto a CCD
camera whilst the other half is focused onto the sample of interest. The path length from the beam
splitter to the CCD camera is well characterised and used as the reference beam descried above.
To investigate the surface profile of the sample, the sample is scanned through the z-direction,
varying the path length of the second beam to the CCD camera. This changes the relative phase
of the two beams, resulting in changes in the nature of the interference. This is recorded from
the intensity at the CCD pixel as a function of z position, an example of which is shown in Fig.
4.15(b). Using an array of pixels, the intensity can be recorded at multiple points across the
surface within the field of view of the microscope. In this way, the different path lengths of the
light at different points on the sample can be measured, giving a measure of the relative surface
height of the sample.
The mode of operation described above is known as vertical scanning interferometry (VSI).
An alternative operating mode which gives a vertical resolution increased from around 1 nm
to around 0.1 nm is called phase shifting interferometry (PSI) which uses a monochromatic
light source, typically achieved by spectrally filtering the white light source [196, 197]. Rather

98
4.5. MICROSTRUCTURAL AND CHEMICAL ANALYSIS

(a) Imaging CCDZ (b)


Lens Camera In-phase
Condensing
Lens

Intensity
WhiteZLight ReferenceZ
Source Mirror

BeamZSplitter
Moveable
ObjectiveZlens nπ outZofZphase

Sample Z-position

F IGURE 4.15. (a) Schematic of White Light Interferometry Microscope using a Michel-
son interferometer; (b) an example of the interferogram detected by a single CCD
pixel as the microscope scans through the z (vertical) direction.

than scanning through the z-direction, a minimum of three different phases are applied to one
of the beams, giving different interference patterns. From these measurements, it is possible
to calculate the path difference across the sample. This method reduces experimental errors
originating from optical aberrations and vibrations associated with VSI, increasing the vertical
resolution [196]. However, this method is not well suited to rough samples which have features
with heights ranging by around half the wavelength of the input light as the relationship between
phase change and surface height becomes ambiguous.
In general, WLI surface profilometry methods are excellent for high vertical resolution. They
offer benefits over AFM such as faster measurement times arising from the capture of the whole
field of view in one measurement and the non-contact nature. However, WLI surface profilometry
does have both lower vertical and lateral resolution than AFM [198]. It also struggles with thin,
transparent films where multiple reflections can occur. In this scenario, the interferogram is
complicated and can prevent its use for surface profilometry.
In this work, a Filmetrics profilm 3D optical profilometer was used to collect WLI topographies.
This machine can operate in either VSI, PSI, or a composite VSI/PSI mode. However, it was only
used in VSI mode. It works by scanning the objective through the z axis and it has a step accuracy
of 0.7%, a step precision of 0.1%, a step stability of 0.15% and stepping range of 50 nm to 10 mm
in this mode. All measurements were undertaken using a 20X objective lens which has a spatial
(lateral) sampling of 0.44 μm. Vertical resolution in the VSI mode is 1 nm.

4.5 Microstructural and chemical analysis

A variety of techniques have been used in the following chapters for analysis of the microstructure
of interfaces and surfaces as well as their chemical composition. These analyses have all been

99
CHAPTER 4. EXPERIMENTAL AND THEORETICAL METHODS

F IGURE 4.16. Schematic of the column of a scanning electron microscope, reproduced


from ref. [200].

undertaken by collaborators and used to support arguments about a material or interface’s


thermal and mechanical properties. Scanning Electron Microscopy (SEM) and Transmission
Electron Microscopy (TEM) will be briefly introduced, looking into their modes of operation and
the relative advantages and disadvantages of these techniques. Associated with this, focused
ion beam milling will be introduced, which has been used in the preparation of samples for
these two microscopy techniques. Energy dispersive x-ray spectroscopy and electron energy
loss spectroscopy are also explored. These are two techniques for investigating the chemical
composition of materials and are frequently carried out in situ with both forms of electron
microscopy.

4.5.1 Scanning Electron Microscopy

In a SEM a beam of electrons is produced using an electron gun in a high vacuum (1 × 10−1 to 1 ×
10−7 Pa) [199]. The electron source can be a metal filament which is heated electrically to produce
thermorelectro emission, or a field emitter which utilises a high potential bias to liberate electrons
from sharp emitters. The resulting beam is then accelerated to a high energy of around 1 - 30
keV by the application of a high potential bias. The resulting beam is directed and focused onto a
sample using magnets as analogues to conventional optical mirrors and lenses. A schematic of a
SEM column is shown in Fig. 4.16.
The incident electrons interact with the sample where they are elastically and inelastically
scattered. The variety of different particles emitted and the different interactions can provide
different information about the sample and are the basis of energy dispersive X-ray spectroscopy
and electron energy loss spectroscopy. In a conventional SEM, the inelastically scattered sec-

100
4.5. MICROSTRUCTURAL AND CHEMICAL ANALYSIS

ondary electrons are detected and used to produce the image of the surface. To produce an image
of the whole surface, the incident electron beam is scanned across the surface, recording the
intensity of the secondary electrons at each point.
The scanning nature of the SEM, combined with the small de Broglie wavelength of electrons
and the narrow beam diameter, results in a number of advantageous properties [199]. The lateral
resolution of SEM is much higher than optical microscopy which is limited by the diffraction
limit to around 100s of nanometres. For SEM, the smaller de Broglie wavelength means that
the diffraction limit is not an issue. Instead, the lateral resolution depends on the resolution of
the steps taken between images and the size of the electron beam. Both of these can be closely
controlled, resulting in lateral resolution of < 10 nm. This also gives much greater magnification
power than is possible for optical microscopes. In the SEM, the magnification is defined by the
number of points taken within a line scan, the limit of which is determined once again by the
electron beam size and lateral step size. By varying these properties, magnification of a SEM
can range from 10 times up to 500, 000 times. For an optical microscope, the magnification is
limited by the power of the objective lens to around 1000 times. The other main benefit of SEM
over optical microscopy is the depth of field, i.e. the depth around the focal plane which is still
observable. The depth of field of both optical and SE microscopy is determined by the convergence
angle when focusing the beam on the surface. As the electron beam has a very narrow waist, the
angle of convergence is very small when compared to optical microscopy. This can be understood
by examining Fig. 4.17. At a given distance from the focal plane, the electron beam will be more
‘focused’ than the equivalent optical beam. This is very useful when imaging rough surfaces such
as polycrystalline diamond films.
There are some drawbacks for the use of SEM. One of these is the requirement for it to be
carried out under high vacuum conditions. This is necessary to have good control of the incident
electron beam as well as to ensure that the signal of scattered electrons is high. There have been
advancements on ‘low-vacuum’ SEM, which are particularly useful for biological samples which
become dehydrated under high vacuum conditions. For semiconductors samples, this is not a
concern. The issue which impacts semiconductor samples is that of charging. When the electron
beam is incident on an electrically insulating sample, the sample will charge with electrons which
have no path to escape the sample. This causes significant changes in contrast as the surface
becomes increasingly negatively charged. To overcome this issue, it is common to coat insulating
samples in a thin layer of metal to provide a conductive path to dissipate this charge. This is a
destructive technique which can be troublesome. An alternative is to use a conductive paint to
provide a temporary path for charge to escape [199].

4.5.2 Transmission Electron Microscopy

Transmission electron microscopy (TEM) is another form of electron microscopy. Rather than
collecting data from scattered electrons which are reflected backwards, images are formed from

101
CHAPTER 4. EXPERIMENTAL AND THEORETICAL METHODS

Objective Objective


Equivalent
Focal Plane
Defocusing

F IGURE 4.17. Schematic demonstrating how depth of focus is affected by angle of con-
vergence. On the left, a wide beam is focused to a spot with an angle of convergence
α. On the right, a narrower beam is also focused to a spot in the same focal plane
with the smaller angle of convergence β. The red dotted lines indicate equivalent
planes of defocusing. It can be seen that for a narrower beam, these planes have a
greater separation, equating to a larger depth of focus.

the electrons which are transmitted through the sample. This opens up the possibility of much
greater resolution than is possible for SEM but it requires for samples to be carefully prepared.
If they are too thick, the chances of an electron transmitting through the whole sample become
very low.
The structure of the TEM column, shown in Fig. 4.18, is very similar to the SEM column
with the addition of a series of lenses and apertures after the sample to collect the transmitted
electrons. By varying the nature of the elements after the sample, the nature of contrast collected
can be varied. For instance, if an aperture and objective are placed along the axis of the incident
beam then the image seen will originate from electrons which have not deviated far from the
original path. This mode is called bright-field and regions where the electrons transmit through
the sample to the detector indicate the lack of material. It can be thought of as atoms casting
a shadow onto the detector. If the shape of the aperture is changed to an annulus around the
outside of the axis of the incident beam only electrons which have been scattered significantly
by the specimen are observed. This is known as annular-dark-field imaging as the absence of
material is seen as dark regions in the resulting image. In both cases, the origin of contrast here
is the mass-thickness contrast. That is because regions which are thicker will be more likely to
scatter electrons as will regions with more mass. This is consistent down to the atomic scale,
so called Z-contrast, where atoms with a higher atomic number (Z) more readily scatter the
transmitted electrons. An alternative contrast method which is frequently used when imaging
crystalline solids such as semiconductors is the diffraction contrast. In crystals with regular

102
4.5. MICROSTRUCTURAL AND CHEMICAL ANALYSIS

F IGURE 4.18. Schematic of the column of a conventional transmission electron micro-


scope. Reproduced from Ref. [201].

atomic arrangements the atomic planes can act as a diffraction grating, so called Bragg diffraction,
if the incident beam is correctly aligned with specific crystallographic orientations. The resulting
diffraction patterns can provide valuable information about the crystal structure of the specimen
under investigation.

Scanning TEM (STEM) is a powerful tool which aims to combine the high lateral resolution
which occurs when rastering the electron beam with the TEM. The column of a STEM can be
slightly different to a conventional TEM although they can be integrated in a single instrument.
An additional deflection coil is positioned before the specimen to allow for the electron beam to
be scanned across the sample whilst the electron spot has a much tighter focus on the order of
0.2-0.05 nm. This allows for very high lateral resolutions, in a similar manner to SEM. Machines
are equipped with detectors to operate in both bright and annular-dark field mode. If the electron

103
CHAPTER 4. EXPERIMENTAL AND THEORETICAL METHODS

focus is tight enough and a crystal sample is correctly oriented, high-resolution STEM (hr-STEM)
can be used to image at the atomic scale utilising the Z-contrast. However, such equipment
generally requires even higher vacuums than those used by conventional TEM [199].

4.5.3 Focused Ion Beam Milling

Fabrication of specimens for TEM is not straight forward as they must be <1 μm thick and one
must avoid introducing changes in the sample structure whilst preparing the specimen [199]. A
typical method is focused ion beam milling (FIB) which also has application in cross-sectional
SEM analysis [199]. Ion beam milling shares some similarities with the two EM processes
described above and ion beams, at low energy, can be used as an alternative to electrons for
microscopy. For FIB, an ion beam with high energy is generated by applying a very large electric
field (1-5 kV) to a source of Ga [199]. This strips electrons from the Ga, creating a plasma and
can also be used to accelerate the resulting ions through an aperture to form the beam. The
beam is directed at the sample of interest and, if the ions have necessary energy, will mill away
the surface atoms. For cross-sectional SEM, a trench is milled and by tilting the sample, the
exposed cross-section can be imaged. For TEM, a lamella must be produced which is transparent
to the electron beam. For this, typically two ion beams are used to mill simultaneously from both
sides. The resulting lamella can be imaged in situ or removed from the bulk sample and imaged
separately [199].

4.5.4 Electron Energy Loss Spectroscopy

When samples are bombarded with high energy electrons, a variety of different scattering
processes can occur. As discussed previously, SEM relies on inelastically scattered secondary
electrons whilst TEM investigates both elastically scattered and inelastically scattered electrons
which transmit through the sample. However, there are a host of other mechanisms which
occur to scatter electrons and these can provide valuable information about the sample. Often,
both TE and SE microscopes have a variety of additional detectors equipped to study the other
processes which occur. One of these techniques is electron energy loss spectroscopy (EELS) which
is frequently used in tandem to TEM and STEM. Inelastically scattered electrons which pass
through the sample will have lost some energy. If this energy loss is greater than around 1 eV,
it is relatively simple to investigate the energy distribution in the transmitted electrons using
magnetic spectroscopy. For EELS, electrons enter the spectrometer through an entrance aperture.
The detector is at an angle to the entrance aperture and a magnetic prism is used to bend the
path of the incident electrons. The angle of curvature of the electrons in the magnetic prism
depends on their momentum and hence energy. The slit ensures that only certain energy ranges
hit the detector for a certain applied magnetic field. Using multiple detectors or scanning the
magnetic field across a certain range, the full spectrum of electron energies can be measured
and the energy loss calculated from the incident beam. Typically there will be a large peak

104
4.5. MICROSTRUCTURAL AND CHEMICAL ANALYSIS

Entrance
Apperture

Detector

Magnetic
Prisim
F IGURE 4.19. A simple schematic of an electron energy loss spectrometer. Electrons
enter the spectrometer from the electron microscope column through the entrance
aperture. A magnetic field is applied to the magnetic prism, separating electrons
based on their kinetic energy (represented by the grey, blue, and pink bands). The
magnetic field is tuned to select for electrons with a specific energy. This can be
scanned through to produce the whole spectrum or multiple detectors can be used
simultaneously to detect multiple energies at once or a position sensitive detector
can be used.

which contains electrons which are essentially elastically scattered, known as the zero-loss peak.
Studying electrons with high energy losses can provide detailed information about the chemical
composition of the sample. Whilst there are only a small number of these, the energy loss typically
relates to inner shell electron transitions of atoms within the crystal, distinctive of particular
atoms. Not only can these signals be used to investigate the chemical composition, they can
also be used to provide insight into the chemical environment of the elements in question and
the relative composition of these different environments. For instance, carbon bonded in an
sp2 fashion has electron energy levels different from sp3 bonded carbon. This means the EELS
spectrum will show two peaks which are slightly separated in energy loss. An example EELS
spectrum of diamond is shown in Fig. 4.20 which highlights the different peaks arising from a
variety of different surface terminations.

4.5.5 Energy Dispersive X-Ray Spectroscopy

Energy dispersive X-ray spectroscopy (EDS) is another technique often employed in tandem
with both SEM and TEM. It utilises distinctive energy X-rays which are produced by inelastic
scattering of electrons by the sample. Emission occurs when an incident electron collides with
one within the material, exciting it [199]. The excited electron now occupies a higher energy level.
After some time, the electron will naturally relax back to lower energy state. It can do this by
emission of a photon of energy equal to the energy level transition which has just occurred, in

105
CHAPTER 4. EXPERIMENTAL AND THEORETICAL METHODS

F IGURE 4.20. An example of an electron energy loss spectrum obtained from a diamond
surface with a variety of surface terminations. The different peaks correlate to
different chemical environments for the C atoms. The labels on the offset spectra
indicate the process by which these surface termination were produced. Reproduced
with permissions from Li et al. [202].

some cases emitting an X-ray photon. The energy level transitions are characteristic of specific
elements depending on their electronic structure and atomic number. Hence, the energy of the
photon can be used to identify which element it originated from. Quantitative analysis is also
possible by studying the rate of emission of specific X-rays. The relative rates can be used to
determine the ratio of the different atoms being measured. However, it is not as simple as direct
comparison of the counts per second. The energy of the X-rays will affect how many reach the
detector; low energy X-rays will be more readily reabsorbed by the material. Atoms which emit
these X-rays would be underrepresented if a direct comparison was used. A factor must be
introduced to account for these variations in order to accurately determine the compositions.
This is calculated by studying the rate of emission from a sample of that element with known
purity. The ‘k’ factors are the ratio of the counts per second for specimen under investigation to
the standard sample.
It is not possible to deflect X-rays so the detector must be held as close to the sample as

106
4.5. MICROSTRUCTURAL AND CHEMICAL ANALYSIS

F IGURE 4.21. Schematic of the interaction volume of an incident electron beam. Marked
are the regions where inelastically scattered secondary electrons, elastically scat-
tered (backscattered) electrons, and X-rays are produced. Reproduced with permis-
sions from Goodhew et al. [199].

possible. In a SEM, it typically needs to be placed in the position of the secondary electron detector
(shown in Fig. 4.16) which can be very close to the surface (< 20 mm). This is more complicated
for TEM but it can be positioned behind the sample. The detector is generally a piece of Si which
is integrated into a circuit. When X-rays hit the Si, they produce electrons and holes, resulting
in a measurable current if a voltage is applied across the Si. Higher energy X-rays will produce
more charged particles so the current produced can be correlated with the energy of an incident
X-ray. The current which is produced when an X-ray hits the detector last for a short time, < 1 μs,
and is referred to as a pulse. The magnitude of the current measured for each pulse is used to
determine which element it originated from. Whilst this is determined, the detector is ‘dead’ and
does not detect any other pulses. Whilst EDS is a useful tool, there are a number of limitations.
For instance, it cannot detect elements which are lighter than sodium as the low energy X-rays
cannot make it to the detector. In addition, the energy resolution of the detector is poor and the
detector must be kept at liquid nitrogen temperatures to reduce noise.

For SEM using bulk samples, the measured compositions and elemental analysis will be an
average of the interaction volume, the volume in which the incident electron beam can cause
X-ray emission, weighted towards regions closer to the surface. For X-ray generation, this volume
can extend several micrometres in diameter and in depth although this depends on the incident
electron energy and the mass of the atoms in the sample (See Fig. 4.21) [199]. The interaction
volume lowers the lateral resolution of EDS compared to SEM. In TEM, this is negated somewhat
by the use of thin samples. The characteristic tear-drop interaction volume of electrons is cut-off

107
CHAPTER 4. EXPERIMENTAL AND THEORETICAL METHODS

near the neck due to the very thin sample. Hence, the lateral resolution of EDS for a TEM sample
is higher. Frequently, EDS is used to give a measure of chemical composition as a function of
depth when carrying out STEM.

4.6 Finite Element Analysis

Finite element analysis (FEA) is used frequently in this thesis to provide context for the material
and interfacial properties measured. It is used in chapters 7 and 8 to model the Joule self-
heating in a simple 2-D device whilst varying parameters such as the effective thermal boundary
resistance and the thermal conductivity of different layers.
Finite element analysis solves complex differential equations over a geometric body numer-
ically by dividing the body into a series of connected nodes. At each node, the equation can be
solved more easily as the spatial derivative is zero. The value of the function between nodes is
evaluated by interpolation. This is demonstrated in Fig. 4.22 for a simple 1-D function, using
linear interpolation between nodes. More accurate analysis occurs when using more involved
interpolation but linear interpolation suffices for this demonstration. As can be seen from Fig.
4.22, the density of the mesh greatly affects the accuracy of the approximated function. For the
approximation using a sparse, linearly spaced array of nodes, the function is poorly approximated,
particularly in areas where the function has a large gradient. The accuracy can be improved by
increasing the density of all points however this can greatly increase the computational time.
To balance this, it is common to use a mesh with a higher density of points in regions where
the function has a large gradient, demonstrated by the red points in Fig. 4.22. This allows for
good accuracy in the simulations without excessive computational time. This kind of meshing
was employed in the thermal simulations used in this work with a much higher density of nodes
around regions with large thermal gradients. All simulations were carried out using a commer-
cial package, Ansys. The Joule self-heating of simple 2-D devices was recreated by defining a
region within the device channel where internal heat generation was applied. The magnitude
of heat generation was chosen to correspond to the desired internal power dissipation within
the device channel. All simulations were performed in steady-state meaning Fourier’s law (Eq.
2.25) could be used to determine the temperature at the nodes for a given heat flux, defined
by the internal heat generation, and thermal conductivity, defined by the material. Boundary
conditions were applied such that the bottom edge of the simulation was bonded to a perfect heat
sink and kept at a constant temperature of 22°C whilst all other surfaces were assumed to be
perfect thermal insulators. The effect of heat transfer of convection by air can be added to these
surfaces but the affect is typically small and, for the hypothetical devices used in this work it was
considered unnecessary. The starting temperature of all bodies within the simulation must also
be pre-defined and these were taken as ambient conditions of 22°C.

108
4.6. FINITE ELEMENT ANALYSIS

F IGURE 4.22. Example of the finite element analysis for a 1-D function where a real
function (black curve) is approximated using a discrete set of x values and linear
interpolation between the points. The blue points represent a linearly spaced,
sparse selection of points whilst the red points show the benefit of an optimised
mesh with a high density of points in regions with a large gradient.

109
HAPTER
5
C
I MPROVED EVALUATION OF INTERFACIAL TOUGHNESS OF THIN
BRITTLE FILMS

he heterogeneous integration of thin, brittle films with stiff substrates is an area of

T research with applications in a range of different fields. One such topic is heterogeneous
integration of heat sinks with electronics which has bloomed in the last decade [11, 14,
19, 45, 203, 204]. However, producing robust, reliable material is non-trivial. The inevitable
mismatches in thermal and mechanical properties between film and substrate, such as their
coefficients of thermal expansion (CTE), can cause significant local stresses. Theses stresses can
result in failure of interfaces which would be catastrophic for proposed applications such as heat
sinking of high power electronics. Hence, accurate and robust evaluation of the adhesion strength
between the film and substrate is required.

5.1 Theoretical Background

The exact definition of the adhesion strength is not necessarily intuitive. The most obvious
definition is the thermodynamic work of adhesion, the worked required to break all the bonds
at the surface. It is defined as the difference in the film-substrate interfacial energy and the
free surface energy of the film and substrate (Fig. 5.1). However, in reality this property is too
idealised and makes up only a fraction of the work required for debonding the film from the
substrate. In a real material, there are multiple non-ideal routes to increase the work required
to for delamination. For instance, materials can become plastically deformed rather than the
interface failing. In this scenario, work must be done to deform the material in addition to
breaking bonds. Other processes which can increase the work required to delaminate the film
include micro-cracking, and friction [190]. The interfacial toughness is an alternative measure of

111
CHAPTER 5. IMPROVED EVALUATION OF INTERFACIAL TOUGHNESS OF THIN BRITTLE
FILMS

the adhesion strength. This is defined as the practical work of adhesion between two materials,
considering both the thermodynamic work of adhesion and any additional work required from the
processes mentioned above [205]. It is commonly characterised using a fracture mechanics-based
global energy approach via strain energy release rate, G, defined as the rate of change in potential
energy per unit increase in crack area along the interface. As G exceeds a critical value, G c ,
fracture occurs. The exact value of G c measured depends on the ratio of shear to normal stresses

γf
γfs WA γs

WA = γf + γs - γfs
F IGURE 5.1. Schematic diagram illustrating the thermodynamic work of adhesion. The
film and substrate are separated by applying some work, WA , called the work of
adhesion. This work is the work required to break chemical bonds at the interface
and any restructuring of the bonding on the free film and substrate surfaces. It
can be defined in terms of interfacial energy γ f s , and the free surface energy of the
film, γ f , and substrate, γs .

occurring during delamination; this will be affected by the nature of the testing method used
as well as the nature of the interface and the mechanical properties of the film and substrate.
The different modes of loading stress are defined in Fig. 5.2. Mode I is when stress is applied
perpendicular to the plane of the crack. In mode II, the stresses are applied in the plane of the
crack and is known as shearing. Mode III, is equivalent to shearing but the stresses are applied
out of the plane of the crack. To allow for comparison between different material systems and
measurement techniques, it is standard procedure to convert G c into the critical mode I, opening
fracture, strain energy release rate G Ic . This can be achieved based on knowledge of relative
measure of shear and normal stresses at the crack tip known as the mode mixity or phase angle.
Nanoindentation induced blistering is one of a number of different methods for measuring
the interfacial toughness. First developed in the 1970-80s, it uses a nanoindenter to indent a
thin film, resulting in deformation of the film, inducing compressive stress. If this stress is large
enough, it will cause cracking at the film/substrate interface in the region of nanoindentation.
The film will buckle in the cracked region resulting in loading at the edge of the film/substrate
crack, causing it to spread with further buckling of the film. Extraction of interfacial toughness is
carried out using one of three of analytical models in the literature centred around measurement
of the dimensions of the buckle induced as well as residual stress in the film [190, 205, 206].
All three of these methods have a common assumption, that the buckled region behaves as a

112
5.1. THEORETICAL BACKGROUND

Mode I Mode II Mode III


Opening Shearing Tearing

F IGURE 5.2. Schematic diagram illustrating the different modes of loading in fracture
mechanics.

totally clamped von Karman plate which is under equi-biaxial stress in the unbuckled state. The
analysis couples the solution for the buckled plate with the elastic solution for a semi-infinite
edge crack on an interface.
The origin of the analysis comes from the model of a straight-edged, 1-D crack for a film on
an infinite substrate. In this scenario, the buckled film is treated as a clamped Euler column
undergoing buckling. The film and substrate are treated as isotropic and elastic and, importantly,
half the clamped column width (b in Fig. 5.3) must be much smaller than the thickness of the
film (b << h). The solution for the strain energy release rate of the interfacial crack depends on
the moment per unit length of the crack, M, and the change in resultant stress at the end of the
column, ∆ N, as depicted in Fig. 5.3 . The exact derivation of these properties is involved, however
no further assumptions are introduced than those already detailed. In the end, five key equations
are derived:

1δ h ³ π y ´i
W= h 1 + cos , (5.1)
2h b

π2 Dh δ
M= , (5.2)
2 b2 h

3π2 D δ
∆N = , (5.3)
4 b2 h

¶¸ 1
δ 4 σ
· µ
2
= −1 , (5.4)
h 3 σc

µ ¶2
π2 E f h
σc = 2
. (5.5)
12 1 − v f b

113
CHAPTER 5. IMPROVED EVALUATION OF INTERFACIAL TOUGHNESS OF THIN BRITTLE
FILMS

F IGURE 5.3. Geometry of the 1-D blister in the unbuckled state (top left) and buckled
state (bottom left). Also, the conventions for the elasticity solution characterising
the conditions neat the tip of an interface crack between a thin film and infinitely
thick substrate (right). δ is the maximum buckle height, 2b is the lateral buckling
length, h is the film thickness, M is the moment per unit area at the crack tip
whilst ∆ N is the change in resultant stress at the buckle edge. Reproduced from
ref. [190].

In Eq. 5.1, there is an expression for the buckle height of the blister as a function of position in
the y axis, as shown in Fig. 5.3 . In this expression δ is the maximum buckle height, h is the film
thickness, and b is half the lateral size of the crack. Equations 5.2 and 5.3 give expressions for
the moment per unit length of the crack and the resultant stress change at the end of the crack
respectively. In these D is the bending stiffness of the film. The fourth equation is an expression
for the maximum buckling deflection which is defined so that W(0) = δ. This is related to the
equi-biaxial stress in the unbuckled film, σ and the classical buckling stress, σ c , which is given
by Eq. 5.5. In this final equation E f and v f are the Young’s modulus and Poisson’s ratio of the
film respectively. The ratio of the compressive stress and bucking stress is called the loading
parameter and must exceed 1 for buckling to occur. The strain energy release rate is given from
the specialised elastic solution for a crack in a two-layer system with an infinite substrate,

h2 ∆ N 2
µ ¶
G = 6(1 − v2f )E −f 1 h−3 M 2 + ,
12
(5.6)
(1 − v2f )h
" #
= (σ − σ c )(σ + 3σ c ).
2E f

This expression gives the strain energy release rate for the specific mode mixity at the crack
tip. As discussed earlier, it is more convenient to convert this to the mode I strain energy release
rate to allow for more accurate comparison between different material systems and testing
methods. In order to do this, an expression is required for the phase angle at the crack tip. For

114
5.1. THEORETICAL BACKGROUND

the two layer system used to derive the expression for strain energy release rate this is
p
12 M cos ω + h∆ N sin ω
tan ψ = p
− 12 M sin ω + h∆ N cos ω
p (5.7)
4 cos ω + 3 hδ sin ω
= p
−4 sin ω + 3 hδ cos ω

where ω is the phase factor, a function of the Dundar’s elastic mismatch parameters, α, a measure
of the mismatch in the plane tensile modulus across the interface of a crack, and β, a measure of
the mismatch in the in-plane bulk modulus. In the condition when blistering occurs, G(ψ) = G c (ψ),
G c (ψ) = G I c f (ψ) where f (ψ) is an interface toughness function. One such function is

f (ψ) = [1 + (1 − λ) tan2 ((1 − λ)ψ)] (5.8)

where λ indicates the ratio of mode I to mode II at the crack tip, for mode I λ = 0 and for mode II
λ = 1.
For circular blisters, one can adjust the 1-D solution for an axisymmetric deformation of
a clamped circular von Karman plate. In this circumstance, b is replaced by the radius of the
plate a and the plate dimensions are considered in terms of the radial coordinates, r. The same
assumptions stand for this model to be valid (h << a) with the addition of the assumption of
small buckling meaning δ < 3b . In such circumstances, the von Karman plate equations can be
solved in a closed, asymptotic form whilst larger deflections require numerical methods. For such
a plate, the classical buckling stress is given by,

µ2 E f
µ ¶2
h
σc = 2
(5.9)
12(1 − v f ) a

whilst the associated asymptotic relationship between buckle height and the loading parameter
is,
¶¸ 1
δ 1 σ
·µ
2
= −a (5.10)
h c1 σc

where c 1 = 0.2478(1 + v f ) + 0.2231(1 − v2f ) and µ2 is a constant which depends on the buckling
format. For singular buckles, i.e. unpinned buckles, this is equal to 14.68.
The exact expression for G Ic depends on the analysis used. For instance, Evans and Hutchin-
son developed a method which focused on the stress induced by the radial expansion of the
plate upon indentation in a stress film. This analysis can be understood by imagining that the
buckled plate is removed from the film prior to indentation, Fig. 5.4(a). Indentation causes plastic
deformation of the plate around the indent of volume v0 resulting in a radial expansion of the
plate by ∆0 . This expansion is related to the indent volume by

v0
∆0 = . (5.11)
2πah

115
CHAPTER 5. IMPROVED EVALUATION OF INTERFACIAL TOUGHNESS OF THIN BRITTLE
FILMS

When placing the plate back into the film, a compressive stress, σ0 , must act on the plate to
reduce its volume by ∆0 . This stress is given by
E f v0
σ0 = . (5.12)
a 2π h(1 − v
2
f)

If this stress is larger than the critical buckling stress given in Eq. 5.9, buckling of the plate will
occur. The resulting, critical strain energy release rate is given by
h 2
σ (1+v f )
i
(a − v f )h 0 2 − (1 − α)(σ0 − σ c )2
G c (ψ) = . (5.13)
Ef

This analysis was expanded by Marshal and Evans to include residual stresses in the film [205],
Fig. 5.4(b). This occurs in the same way as described previously but with the addition of the
residual stress, σR . The initial removal of the plate from the film causes relaxation of the plate
and an increase in radius of ∆R . Indentation causes a second expansion in the same manner as
described for the unstressed plate. The critical strain energy release rate is then given by
¶2 ¶¸
h(1 − v f ) 2 1 + vf 1
· µ µ
2
G c (ψ) = (1 − α)σR + σ0 − (1 − α) . (5.14)
Ef 2 σ c /σ 0

There are a number of limitations for these methods. For the analysis of Hutchinson and
Evans, it is unlikely for the thin films to be stress free whilst for the Marshal and Evans analysis,
the residual stress of the film must be well characterised and considered to be consistent at all
locations analysed. In addition, one must have a well characterised indentation volume.
A commonly used solution which avoids these issues, is that proposed by Hutchinson and Suo
[190], the full details of which will be expanded in section 5.2. Whereas other methods require
rigorous calculation of the induced stress and measurement of residual stress in the film, this
model back-calculates G c from the dimensions of the buckle produced. These dimensions are
the blister radius, a; the buckling height, δ; and the film thickness, h. The film thickness can be
measured by cross-sectional analysis of the film whilst measurement of a and δ can be performed
by cross-sectional analysis (e.g. focused ion beam milling) or extracted from 3-D surface maps
(e.g. atomic force microscopy) [45, 207]. For the former method, removal of material intrinsic to
focused ion beam milling (FIB) allows the blister to relax, causing crack closure/opening and
inaccurate measurements of a and δ. The alternative, 3-D mapping, is non-destructive and does
not suffer from this issue. For these methods, a is taken as half the distance between two points
which have returned to a pre-defined ‘flat’ surface, and δ is commonly taken as the highest point
above the film surface from the ‘flat’ level. This definition of δ assumes that the indented area
in the centre of the blister is small compared the total area of the blister and that there is little
change in the buckle height near the centre. In essence, that the ratio δ/a is small. However, if
this is not the case, using this definition of δ will lead to underestimation of δ and inaccuracies
in the calculated G Ic . These circumstances will occur for thin, well-bonded, brittle films where
it is necessary to indent deeply to induce enough stress to cause delamination, resulting in a

116
5.1. THEORETICAL BACKGROUND

(a) (b)

F IGURE 5.4. Hypothetical model of compressive stresses induced by nanoindentation of


a thin film on an infinite substrate for an unstressed film (a) and a pre-stressed film
(b). v0 is the indented volume whilst ∆0 is the expansion volume of the plate. σ0
is the induced stress and σR is the initial, residual stress in the film. Reproduced
with permission from Marshal and Evans [205].

large indent footprint, and where δ/a will be large as this correlates with G Ic . Such systems
are becoming increasingly common for instance in the areas of integration of heat sinks with
electronic devices [14, 45, 203, 204]; tuning the properties of surface acoustic wave resonators
[208]; and novel fabrication of novel Si on insulator wafers [11, 19]. For all these applications, it
is imperative that the interface between the different material is strong enough to survive the
stresses encountered as a result of the mismatch in thermal and mechanical properties during
fabrication and operation. Accurate quantification of the interfacial toughness is a key piece of
analysis required for informing novel fabrication methods in order to improve this property.
In this chapter, GaN-on-diamond is used as a model system to introduce a new, reliable
method for measuring of blister dimensions for calculation of interfacial toughness. As discussed
in section 3.3 AlGaN/GaN HEMTs on diamond are promising devices for high-power, higher-
frequency power amplifiers required for 5G communications and beyond [25]. However, there is a
significant lattice and CTE mismatch between the two which can result in very large stresses
at the interface during device operation and fabrication. The interface must be robust enough
to survive these stresses in order for devices to have the necessary reliability for commercial
applications. However, this system exhibits the exact properties discussed above: the GaN layer
is thin (< 1 µm thick), brittle, and has to be strongly bonded to the diamond to survive diamond
growth and device fabrication. These properties mean the old methods of measuring blister

117
CHAPTER 5. IMPROVED EVALUATION OF INTERFACIAL TOUGHNESS OF THIN BRITTLE
FILMS

dimensions are potentially invalid. To improve analysis for this system, and like systems, a
novel method of extracting δ and a is proposed. This method involves imaging the 3-D blister
surface and fitting the entire blister with the analytical solution for a clamped von Karman
plate undergoing buckling, rather than sampling manually drawn 2-D line scans as has been
common practice previously, illustrated by the 3-D plot in Fig. 5.5 [45, 209]. This new analysis is
demonstrated to be more accurate as it negates the effect of the indented area as well as utilising
all data collected. Additionally, the clamped von Karman plate is the basis of the analytical model
demonstrated by Hutchinson and Suo keeping all strands of analysis consistent. This model has
been compared to the previously used analysis for three GaN-on-diamond samples and the use
of white light interferometry (WLI) for 3-D imaging of blisters has been validated as a faster
alternative to atomic force microscopy (AFM). Finally, these data have been used to glean insights
into what factors affect the strength of the GaN/diamond interface.

3D Fit
Line-scan

5 μm

F IGURE 5.5. An illustrative plot of an imaged blister (coloured surface) overlayed with
the 3-D fitted surface (grey) and the path of a line-scan.

5.2 Materials, and Experimental and Analytical Methods

5.2.1 Experimental Methods

GaN-on-diamond samples were prepared using a wafer flipping process, described by Francis et al.
[14]. GaN HEMT epitaxy was grown on Si before the top surface was bonded to a Si handle wafer.
The Si growth wafer and strain relief layers were then removed by a combination of grinding and
selective dry etching before a SiNx seeding layer was deposited on the GaN. Diamond was then
grown using microwave plasma chemical vapour deposition and the Si handle wafer removed
by mechanical polishing [14]. A full schematic is shown in Fig. 5.6. The samples had different
GaN and SiNx layer thicknesses as shown in table 5.1. The necessity of the SiNx interlayer is
discussed in depth in chapter 3.3. Briefly, it acts an adhesion layer between the GaN and the
diamond and also helps to protect the GaN from the harsh diamond growth conditions.

118
5.2. MATERIALS, AND EXPERIMENTAL AND ANALYTICAL METHODS

Sis
HandlesWafer
GaN Spin-on-glass Sis
PolysSi SisandsSRL HandlesWafer
SRL HandlesWafer Removal.s
GaN s
Spin-on-glass
Bonding SiNxsDeposition PolysSi
Sis
SRL
GrowthsSub. GaN
Sis SiNx

Diamonds
Growth
GrowthsSub.

Sis
HandlesWafer
GaN Spin-on-glass
HandlesWafers
SiNx PolysSi
Diamond Removal
GaN
SiNx
Diamond

F IGURE 5.6. The process flow of wafer flipping commonly used for production of GaN-
on-diamond. The layer labelled SRL is a multilayer strain relief layer.

Table 5.1: Details of GaN-on-diamond samples measured from FIB cross-sections. All samples
have an approximately 50 nm thick SiNx protective layer on top of the GaN. Thicknesses are
mean values from multiple measurements across FIB cross-sections and error is one standard
deviation. SiNx adhesion layer thickness from in situ measurements during deposition. Residual
stress values calculated from PL measurements of GaN layer.
Nominal Residual Residual
GaN-on- GaN+SiNx
Sample SiNx Stress, Stress,
Si Thickness
Number Thickness GaN Side Diamond
Supplier (nm)
(nm) (GPa) Side (GPa)
1 DOWA 720±10 35 0.38±0.06 -0.43±0.07
2 IQE 730±9 18 0.6±0.1 0.08±0.01
3 IQE 793±9 33 0.6±0.1 0.16±0.02

Cross-sections were milled and imaged using a FEI Helios Nanolab 600 dual-beam FIB-SEM.
Gallium ions (30 kV, 2.8 nA) were used to mill a coarse trench before redeposited material was
removed using a series of cleaning cross-sections (460 pA, 230 pA, and 93 pA). SEM images were
acquired at 10 kV with a tilt correction to account for the orientation of the cross-section relative
to the SEM column. In depth details of SEM and FIB are given in chapter 4.5. A Hysitron TI
premier nanoindenter was used to produce blister with a Berkovich diamond tip. Its operating
principles, and details of the Berkovich tip, are given in 4.3. Indents were made at a range
of depths and, to prevent blister-to-blister interaction, were carried out at a minimum of 100
µm separation. The resulting blisters of samples 1 and 2 were imaged by AFM using a Bruker

119
CHAPTER 5. IMPROVED EVALUATION OF INTERFACIAL TOUGHNESS OF THIN BRITTLE
FILMS

Dimension Edge in the peak force tapping mode (discussed in detail in chapter 4.4.1) whilst WLI
was used to image blisters from all samples using a Filmetrics Profilm 3-D in the vertical scanning
interferometry mode (discussed in detail in chapter 4.4.2). As thin GaN layers are transparent, it
was necessary to deposit an opaque Au layer to prevent interference from secondary reflections
at the GaN/diamond interface. This was achieved by depositing a 10 nm Cr adhesion layer with
a 150 nm Au layer on the surface after blistering by thermal evaporation. Gwyddion 2.53 (a
scanning probe microscopy analysis package) was used to post process the resulting images
using three point levelling and correction of horizontal strokes as well as to manually define
the indented area for 3-D fitting [210]. This is an important process to minimise measurement
artefacts in the final data set such as tilt on the sample from imperfect mounting in the AFM or
WLI. A minimum of fifty blisters were imaged with WLI for each sample.
Stress change in the GaN film was investigated using photoluminescence spectroscopy (PL)
from both the top and diamond side of the GaN . Measurements were carried out using a Renishaw
inVia Raman spectrometer with a 355 nm excitation laser (see chapter 4.1 for full details of the
technique). The stress was measured using the shift of the band-edge emission peak from the
unstressed position of 3.4180 ±0.0008 eV and the stress factor of -21.1 ± 3.2 meV GPa-1 [175, 211].

5.2.2 Analytical Methods

The Hutchinson and Suo method for determining G Ic makes similar assumptions to the previous
analyses. However, rather than requiring accurate measurement of the residual stress in the film
and the radial expansion of the plate, it uses the resulting blister dimensions to back-calculate
the interfacial toughness. This is useful for a number of reasons. Accurate measurement of the
stress in the entire film could be difficult whilst a Berkovich tip is often used for producing
blisters. This is has a pyramidal geometry, breaking an assumption of all these models that there
is uniform radial expansion. Whilst the resulting blisters are essentially circular, the calculation
of the equi-biaaxial stress will be difficult. A schematic of a blister is shown in Fig. 1(a). The
radius (a) and maximum deflection (δ) of the buckle can be used to calculate the critical buckling
stress, σ c (Eq. 5.9), and the driving stress, σD , a combination of residual stresses in the film and
stress induced by indentation. As blistering has occurred, it can be assumed that the driving
stress is greater than or equal to the critical buckling stress and can therefore be back-calculated
from the blister’s dimensions,
µ ¶2 ¶
δ
µ
σ D = σB 1 + c 1 . (5.15)
h

This arises from the asymptotic solution for the von Karman plate shown in Eq. 5.10. The critical,
mixed mode strain energy release rate is given by

(1 − v f )hσ2D
¶¸ à !
σB
· µ
G c (ψ) = c 2 1 − (5.16)
σD Ef

120
5.2. MATERIALS, AND EXPERIMENTAL AND ANALYTICAL METHODS

where c 2 = (1 + 0.9021(1 − v f ))−1 . Using the relationship shown for the 1-D blister, G I c = G c (ψ) f (ψ),
one can calculate the mode I critical strain energy release rate with appropriate interface
toughness function, shown in Eq. 5.8. This leads to the expression

G cψ
GIc = (5.17)
1 + tan2 ((1 − λ)(ψ)

whilst the phase angle at the crack tip is given by

cos ω + 0.2486(1 + v f ) hδ sin ω


" #
−1
ψ = tan . (5.18)
− sin ω + 0.2486(1 + v f ) hδ cos ω

In Eq. 5.18, ω is taken as 52.1°, corresponding to the solution for a circular blister. For GaN-on-
diamond, λ is taken as 0.3, i.e. close to entirely mode I, brittle fracture, a result of the brittle
nature of both materials.
As can be seen from Eq. 5.17, an accurate and reliable measurement of the blister height
is critical for the calculations. Instead of selecting the highest point of the AFM surface maps,
which may be erroneous due to imprints of the indents and any cracking of thin protective layers
present, a method has been developed to fit these data to the analytical description for the shape
of a clamped von Karman plate undergoing buckling, Eq.5.19. In this equation, W is the film
deflection, r is the distance from the centre of a circular buckle, δ is the maximum deflection of
the plate (see Fig. 5.7(a)), and J0 is the Bessel function of the first kind of zero order.

3.8317r
· µ ¶¸
W(r) = δ 0.2871 + 0.7129J0 (5.19)
a
This method allows for more accurate extraction of δ which is in the centre of the buckled plate
and obscured in the experiments by the indented area. In addition to this, the reliability of the
analyses is much improved by including all the collected data rather than arbitrarily sampling
of two-dimensional line scans across the blisters. It is also more readily automated than the
line-scan analysis.
Three-dimensional fitting was carried out by first manually masking the indented area of the
blister in Gwyddion and setting this to median height of the whole scan. The equation shown
in Eq. 5.19 was then fitted t0 the 3-D map, allowing δ, a, and the coordinates of the centre of
the blister to vary. Goodness of fit was assessed using the residual standard deviation and was
minimised using a non-derivative minimum search algorithm from Matlab 2019a. Error in the
parameters was estimated using numerical differentiation for each parameter to obtain the
Hessian matrix. Equations 5.15-5.18 were then used to calculate G Ic with the extracted values
of δ and a. For the line scan analysis, line scans were taken along the principal directions of
the Berkovich indenter which is demonstrated in Fig. 5.7(b). A baseline was fitted using cubic
interpolation between the two regions far from the buckled area. This baseline was taken as the
flat height. The edge of the blister was defined as the distance when the height was within 0.2 nm
of the baseline and the radius, a, half the distance between the two edges. The maximum height

121
CHAPTER 5. IMPROVED EVALUATION OF INTERFACIAL TOUGHNESS OF THIN BRITTLE
FILMS

F IGURE 5.7. (a) shows a schematic of a cross-section of a blistered film. W is the film
deflection, r is the distance from the centre of the blister, a is the blister radius, δ is
the maximum buckle height, and h is the film thickness. (b) is an AFM scan of an
example blister where the arrows indicate where line scans were taken. (c) shows
the same blister imaged using WLI. Panels (a) and (c) reproduced with permission
from Field et al [212].

from the baseline was taken as the maximum deflection of the buckle, δ. The value for calculation
of G Ic was the average of these values from the three line-scans and errors were estimated as the
standard deviations of these. In both cases, blisters were assumed to be single buckles as seen
in the work of Liu et al. and µ2 was taken as 14.68. The Young’s modulus of GaN was taken as
295 GPa and the Poisson’s ratio as 0.25 [45].

122
5.3. RESULTS AND DISCUSSION

5.3 Results and Discussion

Prior to the mechanical testing, the cross-sections of the three samples were investigated using
FIB and SEM imaging, Fig. 5.8, courtesy of Alex Leide. These images and the thickness data
provided by the materials provider were used to derive the layer thicknesses shown in table 5.1.
All three samples have a thin (< 100 nm) SiNx adhesion layer between the GaN and diamond
and an approximately 35 nm thick SiNx protective layer on top of the GaN which is not shown in
Fig. 5.8.

F IGURE 5.8. Cross-sections of sample 1 (a), 2 (b), and 3 (c), taken using FIB and SEM.
The dotted line indicates the approximate position of the SiNx adhesion layer.
Reproduced with permission from Field et al [212].

5.3.1 Validation of White Light Interferometry

The calculated results from two samples, sample 1 and 2, from both AFM and WLI data are
shown in Fig. 5.9. An example of a blister imaged using AFM is shown in Fig. 5.7(b). The same
blister, imaged using WLI, is shown in Fig. 5.7(c) for comparison and has good agreement with
the AFM image. Fig. 5.9(a) and (b) present G Ic (calculated using 3-D fitting) for sample 1 and 2
against the measured blister radius when imaged with both AFM and WLI. There is very little
difference between the two with most blisters showing good agreement between G Ic and blister
radius. The mean values are very similar for sample 2 (Fig. 5.9(b)) and the AFM data points
match almost perfectly with the WLI data points. For sample 1, there is a greater discrepancy
between the AFM and WLI which, in part, reflects greater variation across this sample compared
to sample 2. The AFM data includes more points with G Ic > 2 J m-2 . The ability to image more
blisters using WLI means that data points where G Ic > 2 J m-2 were more likely to be discarded
as outliers (using a 95% Grubbs test), reducing the average values. It is noted that points where
G Ic < 2 J m-2 agree well with WLI data and that there is a high density of points below this value.
In general, it is believed that WLI with a thin metal layer is a reliable method for imaging the
blisters.

123
CHAPTER 5. IMPROVED EVALUATION OF INTERFACIAL TOUGHNESS OF THIN BRITTLE
FILMS

F IGURE 5.9. (a) and (b) present the interfacial toughness, calculated using the 3-D
fitting method of AFM and WLI data as a function of the measured blister radius
for sample 1 and 2, respectively. Error bars are calculated standard deviations and,
in most cases, are obscured by these data points. The solid horizontal lines are
the mean values for different imaging methods and the dotted lines indicate one
standard deviation from this value. Reproduced with permission from Field et al
[212].

5.3.2 Comparison of 3-D Fitting to Line Scan Analysis

Fig. 5.10(a) shows examples of cross-sections of raw data and the fitted buckle for a blister. This
shows that the fitted model agrees well with the experimental data away from the indented area.
It also shows that the maximum deflection measured using the line-scan method is unreliable
as the maximum deflection is expected at the centre of the indented area. Fig. 5.10(a) also
demonstrates the vagaries of using a small number of line-scans for data analysis; the measured
δ is hugely dependent on where the line-scans were taken from. Whilst in this example δ extracted
from the experimental line-scans are not too dissimilar to the 3-D fitted δ, this is a result of
cracking of the protective SiNx layer rather than accurate measurement of δ. Not only does the
line-scan analysis introduce errors based on inaccurate measurement of δ, but it also discards
most of the data. This results in a much larger error in the measured buckle properties and,
hence, the calculated G Ic for individual blisters.
In Fig. 5.10(c), a divergence and increase in error for G Ic values calculated for blisters of
radius < 8 µm can be seen. In the work of Liu et al., the small blisters produced on GaN-on-
diamond and analysed with the line-scan were assumed to be invalid for the Hutchinson and
Suo analysis [213]. However, no assumptions have been broken, h << a whilst δ is still in the
regime of small buckling (δ < a3 ). In fact, it appears the issues are a result of the metrology
used as it is not observed for 3-D analysis. Smaller blisters have a greater variety in maximum

124
5.3. RESULTS AND DISCUSSION

F IGURE 5.10. a) shows cross-sections of a blister, and its fit of the von Love plate
undergoing buckling. Marked are the blister diameter, 2a, and the maximum
buckle height extracted using the cross-sections from the experimental data (δ1,2 )
and the fitted maximum buckle height (δ f it ). Panels (b) and (c) show the calculated
interfacial toughness plotted against measured blister diameter for the G Ic fitting
and line-scan analysis respectively, data collected using WLI. Error bars are ±1
standard deviation. Outliers, calculated using a 95% Grubbs test, are circled in red.
Reproduced with permission from Field et al [212].

height measured using line-scan analysis. By fitting to the whole blister, this affect is eliminated
allowing for more accurate extraction of buckle height and radius even for small blisters, an
important development as the community moves towards integration of thinner films which are
more likely to produce smaller blisters. Using only the line-scan analysis, a lot of these points
would be discarded as outliers or unreliable (Fig. 5.10(c)).
Additionally, the line-scan analysis repeatedly underestimates the interfacial toughness
compared to the G Ic fitting. For samples 2 (3-Dmedian = 1 ± 0.2 J m-2 , LSmedian = 0.5 ± 0.2 J m-2 )
and 3 (3-Dmedian = 0.6±0.1 J m-2 , LSmedian = 0.4±0.1J m-2 ) the median G Ic values are significantly

125
CHAPTER 5. IMPROVED EVALUATION OF INTERFACIAL TOUGHNESS OF THIN BRITTLE
FILMS

F IGURE 5.11. Box and whisker plots showing the G Ic values calculated for samples 1-3
using 3-D fitting and line-scan (LS) analysis. The red lines indicate median values,
edges of the box are the 1st and 3rd quartiles, and the whiskers extend to the most
extreme values not considered outlier. Outliers are indicated by the red crosses.
Median values are significantly different if the notches do not overlap. Reproduced
with permission from Field et al. [212].

lower when using line-scan analysis, confirmed by 95% one-way analysis of variance testing
(Fig. 5.11). This is likely a result of underestimating the maximum deflection, as outlined
in Fig. 5.10(a). For sample 1, (3-Dmedian = 0.6 ± 0.3 J m-2 , LSmedian = 0.5 ± 0.3 J m-2 ) this was
not the case. It is proposed that the lower G Ic value was not seen for this sample as there
was considerably more cracking of the protective SiNx layer. This could artificially inflate the
measured δ values (as shown in Fig. 5.10(a)), which has serendipitously resulted in a δ value
closer to that predicted by the 3-D fitting. This is reinforced by SEM images of regions were
indentation has resulted in spallation and shattering of the GaN film shown in Fig. 5.12(a)-
(c). These images are representative of the samples as a whole where delamination occurred.
Significantly more of the protective SiNx layer has cracked away for sample 1 than for the other
two samples. The increased cracking results in more uncertainty in the height of the blister;
it can obviously reduce the measured height but it can also artificially inflate the height by
spallation, causing shards of the SiNx to stand proud of the surface.
This is further supported by the graphs shown in Fig. 5.12(d)-(f) which present the measured
buckle height against blister radius for line-scan and 3-D analysis. Examination of the equations
presented in at the beginning of this chapter, one can see that a linear relationship between these
two dimensions is expected. The benefit of 3-D over LS is shown particularly well for sample 2
where the 3-D fit consistently gives a high buckle height for equivalent blister radius i.e. increased

126
5.3. RESULTS AND DISCUSSION

SiNx

GaN
b
b GaN SiNx cc GaN SiNx
a
a 1 μm

Diamond
Diamond Diamond

3 μm SiNx
5 μm 5 μm

d e f

F IGURE 5.12. (a)-(c) show SEM micrographs of regions of the GaN film which have
shattered and delaminated from the diamond when indented for sample 1, 2, and
3 respectively. Marked are the different layers which can be observed whilst a high
magnification insert of the edge of the delaminated region for sample 1 is included
in (a). Images courtesy of Alex Leide (c) is reproduced with permission from [212].
(d)-(e) show plots of blister height and radius measured using line scan analysis
(black) or 3-D fitting (coloured) of samples 1-3 respectively. Included are linear fits
for this data shown as solid lines. Error bars are ±one standard deviation.

G Ic . In general, the surface of this sample and blisters imaged had the lowest degree of cracking
of the protective SiNx which explains this improved relationship. For sample 3, the linear fit for
the 3-D fitting is much improved compared to the LS . However, for sample 1, line-scan analysis
δ
resulted in similar a ratios and the linear fit is particularly poor for the line-scan analysis. It
is suggested that this is a direct result of the SiNx cracking and inflating the measured buckle
height. In all subsequent sections, the G Ic results reported use values calculated from the 3-D
fitting procedure.
Finally, the values seen here are not dissimilar to those previously measured for GaN-on-
diamond using the line scan analysis on the order of 0.5-1 J m-2 [45]. For context, these are less
half those seen for GaN-on-Si which have been recorded as G Ic > 2.96 ± 0.4 J m-2 [45] although
they are not dissimilar to values seen of SiNx grown on GaAs where values range from 0.76-2.59
J m-2 [214, 215]. In any case, the values for GaN-on-diamond measured here are relatively low,

127
CHAPTER 5. IMPROVED EVALUATION OF INTERFACIAL TOUGHNESS OF THIN BRITTLE
FILMS

especially considering the large stresses these devices are expected to experience arising from the
large CTE mismatch as well as large electric fields within the GaN. It is important to continue
to improve the quality of these interfaces for improved reliability of GaN-on-diamond for harsh
environment conditions and large output power dissipation. Bench-marking is required with
stress testing to fully understand what an acceptable value of interfacial toughness would be for
different applications.

5.3.3 Correlation of Interfacial Toughness with Residual Stress

As mentioned at the start of this chapter, the compressive stress induced by indentation is added
to any residual stress in the thin film. Fig. 5.13 presents the calculated interfacial toughness
of the three samples and the residual stress change in the GaN from the top surface to the
GaN/diamond interface measured by PL spectroscopy, similar to the method used by Hancock et
al. [216]. Absolute values are given in Table 5.1. The UV PL measurements gives information
on approximately the first 80 nm of the GaN close to the surfaces and measurements through
the diamond were possible due to polycrystalline diamond’s translucency at this wavelength
[217]. The results for samples 1 and 2 are in good agreement with the work of Hancock et al.
where the stress in the GaN on the diamond side is considerably more relaxed than on the
top side [216]. This stress change was thought to originate from a decrease in the threading
dislocation density in the GaN as the film thickness increases which can vary from wafer to wafer
[216, 218]. As the density of threading dislocations decreases as the GaN grows (demonstrated for
a GaN-on-Si wafer in Fig. 5.14), distinct regions form within the GaN. These have different elastic
moduli and could result in variations in the residual stress [216, 219]. Hancock et al. showed
that regions with a higher density of dislocations more readily relaxed during the fabrication of
GaN-on-diamond. Whilst this argument could be true for samples 2 and 3, it does not hold for
sample 1 where the diamond face of the GaN is under a larger compressive stress. In reality, it is
difficult to explain the exact origin of the stress distributions measured given the large number of
processes which the GaN-on-Si wafers have undergone in the course of the flipping and diamond
growth. In addition, complex strain engineering is employed when growing GaN-on-Si and it is
unknown what affect removing the strain relief layers and regions of the GaN buffer will have
on the residual stress of the GaN. As all three samples underwent similar flipping and diamond
growth processes, it appears that the residual stress depends significantly on the structure of the
GaN-on-Si starting wafer as samples 2 and 3 are from the same epitaxial structure. However, it
is not possible to discern more than this.
Using the Hutchinson and Suo model, one would expect an increase in compressive stress at
the interface to result in a reduced G Ic , if all other factors are equivalent. However, there does not
appear to be an obvious correlation. Samples 2 and 3 have very similar measured stress values
but sample 2 has a significantly higher interfacial toughness. Sample 1 has the largest stress
change with compressive stress at the GaN/diamond interface. However, much greater variation

128
5.3. RESULTS AND DISCUSSION

F IGURE 5.13. Median G Ic values plotted against the residual stress change in the GaN
from the top surface to the GaN/diamond interface, measured using UV PL. Error
bars are plus and minus one median absolute deviation for G Ic and plus and minus
one standard deviation for stress gradient obtained from a minimum of five spectra.
Reproduced with permission from Field et al. [212].

in the measured G Ic results in a value which is not significantly different from either sample 1 or
2 (analysed using analysis of one way variance). In all three cases, SEM images of delaminated
areas show that delamination occurs at the SiNx adhesion layer, between the diamond and GaN
interface, see Fig. 5.12. It appears that the dominant factor in determining G Ic is the nature of
this interface, determined by diamond growth conditions, seeding, and the properties of the SiNx .
In fact, it has been shown that regions of the SiNx can be converted into amorphous SiC during
the diamond growth process [153]. Changes in the chemistry of this layer could play a key role in
determining the bonding strength. The residual stress and its variations appear to play little role
in determining G Ic .

Sample 2 has the thinnest SiNx adhesion layer (∼18 nm) and the highest interfacial tough-
ness. This is an interesting finding; thinning of the SiNx layer results in beneficial thermal
properties [149] and it appears thinning this layer has no negative impact on the strength of
the GaN/diamond interface. This is rational if one considers the bonding at a chemical level. For
instance, diamond grown on Si adheres very well. Studies have shown this is a result of the
formation of thin layers of SiC at the interface [220]. Increasing the thickness of the SiC will not
result in any benefit in terms of chemical bond strength. In the same vein, if there is enough SiNx
on the interface for strong bonds to be formed, any further increase in its thickness should not
affect the interfacial strength. The only caveat in this is that if the SiNx is too thin and is etched

129
CHAPTER 5. IMPROVED EVALUATION OF INTERFACIAL TOUGHNESS OF THIN BRITTLE
FILMS

F IGURE 5.14. Transmission electron micrographs of the dislocation distribution within


a GaN-on-Si sample. Examples of dislocations are highlighted in the figure. They
can be seen as dark lines within the different layer stacks. A higher density are
present closer to the Si growth substrate. Reproduced with permissions from Li et
al. [218].

away during diamond growth it is likely that the interfacial strength will be negatively affected.

5.4 Summary

In this chapter, a novel analysis method has been developed for improved calculation for inter-
facial toughness using nanoindentation induced blistering. This involves fitting the analytical
solution for the shape of the blister to the experimental data, removing the influence of the
indented area in measurement of maximum buckle deflection. This has been demonstrated
for a GaN-on-diamond system to improve the reliability of calculation of interfacial toughness
compared to conventional line-scan analysis. In addition, it has been shown that smaller blisters,
previously assumed to violate assumptions in the Hutchinson Suo model, are in fact consistent
with larger blisters and can be used in calculating G Ic using the new analysis method. Increased
spread and larger interfacial toughness values seen previously for smaller blisters are a result of
inaccuracies of the line-scan analysis when studying smaller blisters. In addition, for the three
GaN-on-diamond samples studied, it appears that strong interfaces can be fabricated using thin
(< 20 nm) SiNx interlayers. Thinning this layer has huge benefits for the thermal performance

130
5.4. SUMMARY

of the GaN-on-diamond (see chapters 3.3) and this result opens up the possibility of producing
robust GaN-on-diamond which also possess excellent thermal properties.

131
HAPTER
6
C
C RYSTALLINE I NTERLAYERS FOR I NTEGRATION OF
G A N- ON - DIAMOND

allium nitride based high electron mobility transistors (HEMTs) possess excellent electri-

G cal properties (see chapter 3.1), making them promising devices for the next generation
of high-power, high-frequency power amplifiers [25]. Aluminium gallium nitride/ gallium
nitride HEMTs have been demonstrated to perform in the laboratory at exceptionally high power
densities (20 W mm-1 ) in the X-band [221]. Whilst AlGaN/GaN HEMTs on SiC have already
found commercial success in radio-frequency applications, these remarkable power densities have
not been translated into commercial settings due to concerns over device reliability. A significant
factor in this are the high peak temperatures which occur during operation, a result of highly
localised Joule self-heating at the drain edge of the gate. Poor thermal management results
in accelerated mean time to failure of the devices, see chapter 1, and commercial devices are
significantly de-rated with respect to the highest reported power densities [25].
Devices fabricated on GaN-on-SiC have helped alleviate some thermal issues, a result of the
relatively high thermal conductivity of SiC (250-400 W m-1 K-1 [222]) compared to alternative
growth substrates such as Si (149 W m-1 K-1 ) and sapphire (40 W m-1 K-1 [23]). Despite this
improvement, device performance or lifetime are limited by thermal management. It is for this
reason that, for the past 15 years, there has been significant interest in integrating diamond with
these devices, resulting from diamond’s exceptional thermal properties (see chapter 3.2).
As covered previously (see chapter 3.3), a variety of different methods have been investigated
for integration ranging from growth of GaN on a diamond substrate [223], low temperature
bonding of diamond to GaN [16], and the growth of polycrystalline diamond onto GaN wafers
[14, 35], see Fig. 3.21 for a summary of these methods. To date, the most successful method has
been replacing the GaN growth substrate with a polycrystalline diamond heatsink, the process

133
CHAPTER 6. CRYSTALLINE INTERLAYERS FOR INTEGRATION OF GAN-ON-DIAMOND

of which is show in Fig. 5.6 [14, 40]. In general, an AlGaN/GaN HEMT structure is grown on a
conventional growth substrate (typically Si). The substrate and any strain relief layers (SRLs)
are removed by a combination of mechanical lapping and dry etching before diamond is grown
on the GaN backside by microwave-plasma assisted chemical vapour deposition (MPCVD). A
seeding interlayer is deposited on the GaN prior to diamond growth, typically amorphous SiNx
although amorphous AlN has also been used [157]. This layer plays two roles, it protects the
GaN from the very harsh diamond growth conditions (H2 plasma, > 700°C) which can decompose
the GaN [44] as well as etch pinholes through it [156] (Fig. 6.1) and it also improves adhesion
between the GaN and the diamond. If diamond is grown directly on GaN, films greater than
a few microns delaminate whilst the thermal performance of such films has been shown to be
poor [36, 154, 155]. This is because GaN does not readily form carbides and interfacial bonds are
typically limited to weak van der Waals bonds [155] (see chapter 3.3). Hence, the interlayer is
typically chosen to contain elements which readily form carbides whilst it is made thick enough
to survive etching by the H2 plasma, protecting the GaN surface underneath.

Whilst these interlayers help to aid adhesion of the diamond, they have an unfortunate
consequence of introducing an additional thermal resistance between the GaN and diamond. As
discussed in chapter 3.3, if this thermal resistance is too high it negates the benefit of the diamond
heatsink. The total thermal resistance between GaN and diamond is termed the effective thermal
boundary resistance (TBReff ), a lumped thermal resistance present at the interface between the
diamond and the GaN. This thermal resistance contains contributions from the interlayer, the
near-nucleation diamond, the strength of the bonding at the interface as well as fundamental
contributions such as phonon density of states mismatch, see Fig. 3.23(a) in chapter 3.3. A
major contributor to TBReff is the amorphous SiNx interlayer used. As detailed in chapter 2.2.3,
amorphous materials have a very low thermal conductivity and SiNx layers tend to have a
thermal conductivity of < 2 W m-1 K-1 [161]. In general, reduction of TBReff has been achieved
by thinning this layer and recent work has shown that a 5 nm thick SiNx layer can result in
an excellent TBReff of less than 10 m2 K GW-1 . However, such a thin SiNx could pose issues
for diamond growth where it, and the GaN, could be etched all the way through in the manner
seen by Liu et al. [213]. In this chapter, an alternative method for reduced TBReff is discussed.
Rather than thinning the low thermal conductivity layer to reduce TBReff , it is proposed to
replace it with a high thermal conductivity, crystalline interlayer between the diamond and GaN.
Recent reports of thick (> 100 μm) diamond growth on single crystal AlN have shown a TBReff
of as low as 16 m2 K GW-1 [224] which, compared to typical values of around 20 m2 K GW-1
seen for SiNx [159], is very impressive. This is a result of the large thermal conductivity of bulk
AlN, approaching 300 W m-1 K-1 [225] and its propensity to form carbide bonds with diamond.
The ideal strategy would be to introduce a thin AlN layer below the GaN channel during the
GaN epitaxy. This layer could then act as both an etch stop as well as a low thermal resistance
interlayer. However, introducing a pure AlN layer at this stage of GaN growth is challenging.

134
6.1. EXPERIMENTAL AND THEORETICAL METHODS

F IGURE 6.1. Scanning electron micrographs of GaN on diamond using large, sparse
diamond seeds (left) and small, densely packed diamond seeds (right). The GaN
is etched significantly when the diamond seeds were sparse resulting in slow
coalescence of the diamond film and exposure of the dielectric to the hydrogen
plasma for extended time. Reproduced with permission from [213].

Metal organic CVD growth of GaN occurs at around 1100°C as has been discussed in chapter 3.1.
When trying to vary elemental compositions in different layers, it is inevitable that atoms will
diffuse across the layers at this temperatures. This diffusion will be increased when trying to
greatly vary the elemental composition from layer to layer (i.e. changing from GaN to AlN) due to
the principle of mass transport. That is that atoms will move from an area of high concentration
to one of low concentration. This will result in alloying at the interfaces of the layers. A thin AlN
layer introduced within a GaN buffer will hence suffer particularly, having a large interfacial
area relative to volume and being surrounded by Al free GaN. As a result, diamond growth on
a relatively low Al content Al0.32 Ga0.68 N layer within a GaN HEMT epitaxial stack has been
investigated. Whilst direct growth of a thin diamond film on this layer is possible, it results in
a relatively high TBReff . The addition of a thin crystalline SiC layer between the AlGaN and
diamond drastically improves the thermal performance.

6.1 Experimental and Theoretical Methods

Initial AlGaN/GaN-on-Si HEMT structures were obtained commercially and full growth details
are not available. These structures were grown by metal-organic chemical vapour deposition
(MOCVD) with typical AlGaN SRLs with an additional approximately 20 nm thick Al0.32 Ga0.68 N
layer buried within the GaN buffer, around 750 nm below the AlGaN/GaN channel, Fig. 6.2. Sam-
ples were prepared using two different approaches; a wafer flipping approach and a membrane
approach, see Fig. 6.3(a). For the flipped sample (sample 1), the GaN structure was inverted via a
substrate transfer process. The GaN top surface was wafer bonded to a Si handle wafer using
a combination of spin-on-glass (SOG) and polycrystalline Si prior to removal of the Si growth
substrate by a combination of mechanical lapping and reactive ion etching [14, 147]. Bonding was
performed by Akash systems whilst etching was performed by Matthew Smith at the University

135
CHAPTER 6. CRYSTALLINE INTERLAYERS FOR INTEGRATION OF GAN-ON-DIAMOND

of Glasgow. Sample 2 was prepared by fabricating small (0.5 mm) circular membranes of GaN
[226]. Small areas of the Si substrate were removed using photolithography and a two-step
modified Bosch process [226]. Initially, a high power (900 W) inductively coupled plasma (ICP)
containing SF6 /C4 F8 to thin the whole Si substrate to 150 μm. Following this, a low power version
(600 W) of the same etch was combined with photolithography to fully remove selected areas of
the Si, forming suspended membranes of GaN and SRLs. After the removal of the Si substrate,
the two samples were treated similarly. A two-stage dry etching process removed the SRLs and
GaN buffer to reveal the AlGaN interlayer. First, a high power Cl2 /Ar inductively coupled plasma
(ICP) etch removed the majority of the SRLs and GaN buffer [227] before the final 200 nm of GaN
buffer was etched using a Cl2 /N2 /O2 ICP process, performed by Matthew Smith. This second step
was selected for its AlGaN/GaN selectively and was carried out at low power to prevent damage
to the III-nitride material [228].

Al0.17Ga0.83N 27 nm
GaN 750 nm
Al0.32Ga0.68N 18 nm
GaN 780 nm

Al0.05Ga0.95N 740 nm
Al0.64Ga0.36N 29 nm
Al0.09Ga0.91N 750 nm
GaN 25 nm
Al0.21Ga0.79N 520 nm
Al0.55Ga0.45N 380 nm
AlN 210 nm
Si

F IGURE 6.2. STEM image of the whole initial GaN-on-Si wafer. Composition of layers
from EDS analysis. STEM and EDS courtesy of Fabian Massabuau.

Diamond was then grown on the exposed AlGaN layer by MPCVD using a process similar to
that developed for growth on AlN [224], carried out by Jerome Cuenca at the University of Cardiff.

136
6.1. EXPERIMENTAL AND THEORETICAL METHODS

Prior to diamond seeding, the AlGaN ws exposed to a N2 /H2 plasma flash (1.5 kW at 20 Torr, N2
concentration 10% in total flow rate of 300 sccm) for ten minutes. For sample 1, diamond seeding
was carried out using ultrasonic seeding of oxygen terminated detonation nanodiamond particles.
For sample 2, the fragile nature of the membranes meant this was not appropriate and instead
the diamond seeds were drop cast by hand onto the surface. Subsequently, diamond growth was
carried out by MPCVD in a CH4 /H2 plasma with the substrate temperature at approximately
800°C (5.5 kW at 110 to 120 Torr with CH4 at 3% total flow rate of 500 sccm). The thickness
of the films was > 35 μm thick for sample 2 and < 1 μm thick for sample 1, see Fig. 6.3(a) for a
process flow for both samples. For sample 2, during the diamond growth process and plasma
pre-treatment the Si substrate surrounding the membranes was sputtered and redeposited onto
the AlGaN layer. This thin layer acted as a source of Si for SiC formation in the initial stages of
diamond growth. For sample 1, no such effect could occur as there was no exposed Si source for
sputtering. This process is shown in Fig. 6.3(b).

The microstructure and chemical composition of the samples was studied using scanning
electron microscopy (STEM), energy dispersive x-ray spectroscopy (EDS), and electron energy
loss spectroscopy (EELS). This work was carried out by Simon Fairclough and Fabian Massabuau
at the University of Cambridge. Focused ion beam milling was used to prepare cross-section for
STEM using a FEI Helios with a Ga ion beam. Imaging was undertaken using a FEI OSiris
microscope at 200 kV with a beam current of 80 pA or using a FEI Titan G2 at 300 kV and a beam
current of 80 pA. Electron energy loss spectra were collected in situ in the FEI Titan. Energy
dispersive X-ray spectra were collected using the SuperX EDS system and all data was analysed
using HyerSpy whilst relative atomic compositions were determined using Cliff-Lorime k-factors.

For transient thermorefelctance (TTR) measurements, both samples were coated in 145 nm
Au transducer with a 10 nm Cr adhesion layer by thermal evaporation (Fig. 6.3(a) and (b)). For
sample 1, measurements were taken with a continuous wave (CW) 532 nm probe laser (1/e2 spot
size of 2 μm) using a 10 ns pulse width, frequency tripled 1064 nm Nd:YAG pump laser (1/e2
spot size of 90 μm). For sample 2, a similar set up was used but with a 355 nm, 1 ns pulsed,
passively Q -witched Nd:YAG pump laser (1/e2 spot size of 90 μm). Full details are given in
chapter 4.2. Analysis was carried out by solving the transient heat equation through a multi-
layer stack using the transmission line-axis-symmetric model and fitting the analytical solution
to the experimental data to extract TBReff , see chapter 4.2 [157]. It was also necessary to fit
the effective thermal boundary resistance between the GaN and transducer (TBRmet ) and the
diamond thickness of sample 1 between the limits of 500 nm and 1 μm. The properties used for
fitting are shown in Table 6.1.

To investigate the contribution of different, crystalline interlayers to TBReff , called TBRinterlayer ,


simulations were undertaken. For this theoretical work, the total thermal resistance of SiC, AlN,
and Alx Ga1-x N layers was estimated by combining the thermal resistances of the two interfaces
(calculated using the diffuse mismatch model (DMM), see chapter 2.2.4) and the thermal resis-

137
CHAPTER 6. CRYSTALLINE INTERLAYERS FOR INTEGRATION OF GAN-ON-DIAMOND

(a) Sample 1
Handle8Wafer8Bond Thin8Dimaond8
Substrate8Removal Growth
Al0.32Ga0.68N Diamond
Al0.32Ga0.68N
GaN GaN
Poly8Si Poly8Si
Spin-on-glass Spin-on-glass
GaN Si8
Al0.32Ga0.68N Si8
Handle8Wafer Handle8Wafer
SRL
Si8 GaN
GaN
Growth8Sub. Al0.32Ga0.68N Al0.32Ga0.68N
SiC

SRL Diamond

Selective8Area8 SiC8and8Diamond8
Etch Growth
Sample 2
(b)
GaN GaN GaN
AlGaN AlGaN AlGaN
SRL SRL SRL SRL SRL SiC SRL
CH3
Diamond Diamond
CH Diamond
Si Si Si 3 CH3 Si Si Si
CH3 CH3

H2/N28Plasma H28Plasma

F IGURE 6.3. Schematics showing (a) the processing and final sample structures of
sample 1 and 2; (b) the process through which SiC formed for sample 2.

tance of the interlayer (thickness/κinterlayer ). As thin layers will be used for these interlayers,
it is necessary to account for size affects which reduce thermal conductivity (see chapter 2.1).
This was achieved by calculating the cross-plane thermal conductivity of the layer using the grey
approximation, taking a single mode independent phonon velocity and lifetime and using the
kinetic theory of gases to model phonon lifetimes [237], making the thin film thermal conductivity
a function of bulk thermal conductivity and film thickness. The grey approximation assumes that
the phonon dispersion relationship can be modelled as having a single acoustic branch, giving a
single, mode independent phonon velocity, taken as the average phonon velocity of the different
acoustic branches. This is a useful approximation when only low frequency phonons are excited
i.e. at relatively low temperatures. The phonon lifetime was modelled using this approximation

138
6.1. EXPERIMENTAL AND THEORETICAL METHODS

Table 6.1: Thermal and material properties used as fixed variables for transient thermore-
flectance fitting. All properties were assumed to be temperature independent in the small < 10 K
temperature rise induced by the measurement.
Specific Heat
Material κ⊥ κ|| Density (Kg m-3 )
(J Kg-1 K-1 )
Au 317 [229] Isotropic 19320[117] 128[117]
GaN 130[230] Isotropic 6150 [117] 490[231]
Diamond Near-Nucleation 350 [138] 350 [138] 3515[117] [146] 520 [232]
Diamond Bulk 1500[138] 1000 [138] 3515[117] 520 [232]
Poly Si 25[233] Isotropic 2320 [234] 700*[235]
SOG† 1.4[236] Isotropic 2200[117] 1000[236]
* Heat capacity used of single crystal Si.
†Properties of amorphous SiO2 used .

with the kinetic theory of gases, described in chapter 2.1. This is given by the expression,
3κ∞
τ= (6.1)
c v v2ac

where κ∞ is the bulk thermal conductivity and c v is the volumetric heat capacity, calculated
as the product of the specific heat capacity and the density of the material [46]. The thin film
thermal conductivity was then calculated using the following expression:
1
κ⊥ = (6.2)
1 + ταvLac

where α is an empirical constant set to 3/4 [237].


It is important to note that the interfaces are all assumed to be perfectly adhered and
the effect of the near-nucleation diamond is neglected i.e. TBRinteralyer will be below the lower
limit for TBReff . The material properties used for these calculations are shown in Table 6.3.
The properties of the Alx Ga1-x N also dependent on the Al composition and the properties were
calculated using the procedure set out by Adachi et al., using the bulk properties of AlN and
GaN. This is essentially a weighted average of the AlN and GaN property of interest, including
empirical bowing terms to better match experimental data.

Table 6.2: Thermal and material properties used for calculations of TBRinterlayer .

Bulk Thermal Specific Average Phonon Debye


Density
Material Conductivity Heat (J Group Velocity Temperature
(Kg m-3 )
(W m-1 K-1 ) Kg-1 K-1 ) (m s-1 ) (K)
Diamond - 3515 - 14.4×103 1860[238]
GaN 130[230] 6150 [117] 490 [231] 5.4×103 600
AlN 285 3230 600 7.8×103 1150
6H-SiC 490 3210 690 9.1×103 1200
Unless specified, properties retrieved from the NSM database

139
CHAPTER 6. CRYSTALLINE INTERLAYERS FOR INTEGRATION OF GAN-ON-DIAMOND

6.2 Results and Discussion

Prior to etching, the GaN-on-Si starting wafers were analysed using STEM and EDS, and
the results are shown in Fig. 6.4. The STEM image (Fig. 6.4(a)) indicates that there is an
approximately 18 nm thick AlGaN layer, 750 nm below the AlGaN/GaN channel whilst EDS
analysis (Fig. 6.4(b)) suggests the Al content is approximately 32%, determined from the Al
rich region at the bottom of the layer. Further STEM and EDS characterisation was carried out

F IGURE 6.4. (a)STEM image of the initial GaN-on-Si GaN buffer and buried interlayer
wafer stack and (b) EDS map of the buried Al0.32 Ga0.68 N interlayer.STEM and
EDS courtesy of Fabian Massabuau and reproduced with permission from Field et
al. [148].

following etching and diamond growth shown for sample 1 in Fig. 6.5 and for sample 2 in Fig
6.6. For both samples, it can be seen that the selective etch successfully stopped on the AlGaN
etch stop. This is shown for sample 1 by the spike in Al content at approximately 520 nm deep
indicating a layer which is approximately 30 nm thick (Fig. 6.5(c)). The relative composition of
this layer is slightly lower than the as-grown wafer at approximately 25%. This is likely a result
of small composition variation across the wafer as well as unintended, slight etching of the Al
rich bottom region during the ICP etch. For sample 2, this spike can be seen at 20 nm deep in Fig.
6.6(c). This layer is slightly thinner at 15 nm thick although the value for sample 1 is much more
approximate due to a coarser EDS scan. The thinning of the layer is also assigned to unintended
etching during processing as is the slightly lowered Al content of around 25% (relative to Ga).
The interfaces of the two samples show some stark differences. Sample 1 has a rough
interface where, in some regions, the AlGaN was entirely etched away resulting in pits in the
GaN and voids at the diamond interface (Fig. 6.5(a)). Sample 2, on the other hand, has a perfectly
smooth interface which high-resolution STEM (hr-STEM) indicates is crystalline (Fig. 6.5(b)).
The difference between these two interfaces is believed to arise due to a 10 nm thick SiC layer
which was present between the AlGaN and the diamond, shown in Fig. 6.6(a) by the areas of
lighter contrast and highlighted by the EDS in Fig. 6.6(c) at 30 nm deep. The high resolution

140
6.2. RESULTS AND DISCUSSION

F IGURE 6.5. (a) shows a STEM micrograph of sample 1 following etching and diamond
growth whilst (b) shows the chemical composition across the interface in the area
highlighted by the red box in (a). (c) shows the boxed section of (b) magnified and
highlights the chemical composition of the diamond-AlGaN-GaN region. STEM
and EDS courtesy of Simon Fairclough and reproduced with permission from Field
et al. [148].

image shown in Fig. 6.6(b) indicates this is crystalline whilst further hr-STEM and Fast Fourier
transform, shown in Fig 6.8, indicate that it is either 2H or 6H SiC. This layer appears to protect
the AlGaN layer from the harsh diamond growth conditions to some extent, avoiding the issue
of etching into the GaN. It is proposed that it forms in a processes depicted in Fig. 6.3(a). The
surrounding Si substrate was sputtered onto the exposed AlGaN by either the H2 plasma at
the start of the diamond growth or the H2 /N2 plasma pre-treatment. The Si layer then reacted
with carbonaceous species present in diamond growth reactor to form SiC, as has been seen for
diamond growth on Si substrates [239]. This would only be possible for the low seeding density
which resulted from the necessity to use drop casting of nanodiamonds rather than conventional
ultrasonic methods. If the diamond seeding density were too high, the nanodiamonds would
obstruct the deposition of the Si and the lateral growth of the diamond would soon prevent
reaction of the Si with carbonaceous species. This theory is supported by the STEM image shown
in Fig. 6.6(a). In the area highlighted in blue, a nanodiamond seed can be seen touching the
AlGaN layer indicating that the SiC formed after diamond seeding and that these seeds could
block its formation if too densely seeded. Confirmation that this area is indeed a diamond seed
is shown in Fig. 6.7 where electron energy loss spectroscopy indicates a high concentration of
sp2 carbon. This is consistent with a nanodiamond, resulting from the large surface area to
volume ratio of the nanoparticles and the increased sp2 carbon present on diamond surfaces

141
CHAPTER 6. CRYSTALLINE INTERLAYERS FOR INTEGRATION OF GAN-ON-DIAMOND

[240]. Crystalline SiC has been reported to form during MPCVD diamond growth previously
for diamond grown on Si [220] and, whilst it is believed the SiC in this work is a result of the
membrane fabrication technique, it should be possible to form this by introducing an alternative
Si source such as silane gases into the diamond growth plasma [239].

F IGURE 6.6. (a) shows a STEM micrograph of sample 2 following etching and diamond
growth. The blue box highlights a diamond seed whilst the red box indicates a
seedless area where EDS scans were taken shown in (c). (b) is an atomic resolution
STEM image of the SiC/AlGaN interface. STEM and EDS courtesy of Simon
Fairclough and reproduced with permission from Field et al. [148].

Representative TTR traces are shown in Fig. 6.9. The different decay of the traces is a
result of the different layer structure between the two samples (Fig. 6.3). Fig. 6.9 (b) and (c)
show sensitivity analysis for the fitting of samples 1 and 2 respectively. These graphs show how
∂ ln R
sensitive the fitted trace is to changes in a parameter, ζ. This property is defined as S ζ = ∂ ln ζ
,
where R is the modelled reflectivity. The sensitivity analysis indicates that the fitted parameters
are sufficiently independent to be accurately fitted simultaneously as their peak sensitivity is
seen at different times. Whilst STEM analysis confirmed the diamond film for sample 1 was
around 500 nm thick in one location (Fig. 6.5) it was necessary to fit the diamond thickness as
this appeared to vary by 300 nm across the sample. The variation in thickness is likely a result of
inhomogeneous heating of the sample giving slightly different diamond growth rates across the
sample [241].
The thermal conductivity of the diamond films were fixed based on the calculations of Anaya
et al. [138], using the same thermal conductivity of the first 1 μm of diamond for sample 2 as

142
6.2. RESULTS AND DISCUSSION

F IGURE 6.7. STEM micrograph (a) and electron energy loss spectra (EELS) (b-c) of a
nanodiamond seed at the diamond/SiC/AlGaN interface of sample 2. Colour of the
outline of the spectra correspond the areas highlighted in matching colours in the
micrograph. STEM and EELS courtesy of Simon Fairclough and reproduced with
permission from Field et al. [148].

for sample 1. These calculations used the modified Callaway model to calculate the thermal
conductivity of polycrystalline diamond as a function of its thickness, considering the grain size
at the different thicknesses, described in chapter 3.3 and shown in Fig. 3.20. The use of this
model was required to allow for accurate comparison of TBReff values between sample 1 and
2. If the average thermal conductivity of the diamond was used for the entire diamond layer
of sample 2, the thermal resistance of the near-nucleation diamond would be added to TBReff ,
artificially inflating TBReff when compared to sample 1. These values are described as diamond
near-nucleation layer and diamond bulk respectively in Table 6.1. The results from the fitting
are shown in Table 6.3 and show that sample 2, with the SiC, has a significantly lower TBReff
(30 ± 5 m2 K GW-1 ) compared to sample 1 (104 ± 44 m2 K GW-1 ). The large error bar of sample
1 reflects some material variation across the sample as well as the presence of voids in some
locations at the interface. Evidently, the SiC layer is improving heat transport across the interface
and it is postulated this is a result of two effects. First, the SiC readily forms strong carbide
bonds, as evidenced by its own elemental composition. It has been shown that a low TBReff
can only be achieved at a strong, covalently bonded interface and that van der Waals bonds

143
CHAPTER 6. CRYSTALLINE INTERLAYERS FOR INTEGRATION OF GAN-ON-DIAMOND

F IGURE 6.8. (a) Atomic resolution hr-STEM images of the SiC layer between the AlGaN
and diamond in sample 2 and (b) a Fast Fourier transform of the image consistent
with hexagonal SiC. hr-STEM courtesy of Simon Fairclough and reproduced with
permission from Field et al. [148].

will act as a low pass acoustic filter [155]. It is known that GaN does not readily form carbide
bonds and, whilst AlN does, it appears that this low Al composition AlGaN layer is more GaN
like in this respect. Second, the AlGaN/SiC interface is crystalline and very smooth unlike the
AlGaN/diamond interface of sample 1 which is pitted. Evidently, the AlGaN layer could not
survive the diamond growth conditions whereas the SiC can. These pits and voids will result
in a very large thermal resistance. It remains to be seen at what Al computational threshold
the AlGaN will start forming carbide bonds however, for layers with low Al, this work suggests
there is a benefit for including a SiC interlayer. In fact, co-deposition of SiC and diamond could
allow for growth of diamond directly on GaN. For more AlN like AlGaN, the SiC is unlikely to be
necessary.
To study the effect of different crystalline interlayers on TBReff , simulations were carried
out using 20 nm thick 6H-SiC, Al0.32 Ga0.68 N, and AlN interlayers, the results of which are
shown in Fig. 6.10(a). These calculations examine only the interlayers contribution to TBReff ,
coined TBRinterlayer . This is the sum of the thermal resistance of the interlayer and the thermal
resistance of the two interfaces, diamond/interlayer and GaN/interlayer. For all three interlayers,
the minimum TBRinterlayer calculated is very low. For Al0.32 Ga0.68 it is ∼ 2 m2 K GW-1 whilst
for SiC and AlN it is below 2 m2 K GW-1 . Whilst these numbers are beyond the limit of what
is practically possible, it suggests there are significant improvements to be gained by process
optimisation from those seen in this work. The higher limiting value for Al0.32 Ga0.68 N is reflective
of its much lower Debye temperature than diamond when compared to AlN and SiC, indicating
less overlap of phonon density of states and increased TBRAl0.32 Ga0.68 N/Diamond (see chapter 2.2.4)
[81, 121, 165]. As discussed in chapter 2.2.4, the DMM is a very simple model and the use of

144
6.2. RESULTS AND DISCUSSION

F IGURE 6.9. (a) Representative TTR traces for sample 1 and sample 2. Solid lines show
best fit traces whilst dotted lines show ±one standard deviation from the fitted
TBReff . (b) shows sensitivity analysis for the fitted parameters for sample 1 and (c)
for sample 2. Reproduced with permission from Field et al. [148].

the Debye approximation for the phonon dispersion relation can result in inaccuracies in the
absolute and relative interfacial thermal resistances calculated [242]. However, examination of
the accurate phonon density of states shown in Fig. 6.11 indicates that one would expect a similar
trend when carrying out more rigorous calculations. Both AlN and 6H-SiC provide intermediate
states for phonon transmission from GaN to diamond, particularly for long wavelength acoustic
phonons which are the dominant thermal carriers. Fundamentally, this will reduce the total TBR
at the interfaces.
The more prominent thickness dependence for Al0.32 Ga0.68 N is a result of the its lower
thermal conductivity than both SiC and AlN, a result of alloy scattering (see chapter 2.2.1). In
Fig. 6.10(a) this is seen as its value of TBRinterlayer does not begin to plateau until its thickness
is below 10 nm unlike both AlN and SiC where the plateau is reached at 30 nm. The role of Al

Table 6.3: Mean values of fitted parameters from TTR traces.


TBRmet (m2 K GW-1 ) TBReff (m2 K GW-1 ) Diamond Thickness (nm)
Sample 1 74±13 107±44 643±85
Sample 2 71±7 30±5 Not Fitted
Errors are standard deviation obtained from multiple fitted traces from the sample.

composition on TBRinterlayer is presented in Fig. 6.10(b). In this graph, the thermal conductivity
of a 20 nm thick Alx Ga1-x N is examined as is the total TBRinterlayer and its constituent terms
arising from the AlGaN thermal resistance (AlGaN Film) and the two interfaces (TBRDMM ). This
indicates the importance of using a high Al content AlGaN layer to minimise TBRinterlayer . As the
Al content increases, the layer becomes more AlN like, resulting in increased phonon density of
states overlap with diamond, reducing the fundamental TBR at the AlGaN/diamond interface and
reducing the term TBRDMM . In addition to this benefit, the film thermal conductivity increases

145
CHAPTER 6. CRYSTALLINE INTERLAYERS FOR INTEGRATION OF GAN-ON-DIAMOND

as the composition moves away from 50:50 Al:Ga due to decreased alloy scattering as well as the
higher bulk thermal conductivity of AlN, increasing drastically beyond 60% Al. This reduces the
thermal resistance of the film, simply thickness/κfilm , and hence TBRinterlayer . The benefit of a
high Al content AlGaN interlayer is threefold: first, the fundamental TBR between the diamond
and AlGaN is reduced; second, the thermal resistance of the film is significantly reduced and;
third, the Al will aid carbide formation and the formation of a good thermal interface with the
diamond.

F IGURE 6.10. (a) on the left axis the thermal conductivity of AlN, SiC, and Al0.32 N0.68 N
is shown for different thicknesses, calculated using the grey approximation. On
the right axis, TBRinterlayer is presented for these three interlayers, calculated as
the summed thermal resistance of the interlayer and the two interfaces calculated
using the diffuse mismatch model. (b) presents the same calculations but as a func-
tion of Al content for a 20 nm thick Alx Ga1-x N layer. On the right axis, TBRinterlayer
is presented as are the contributions of the AlGaN film and the interfaces (DMM).
Reproduced with permission from Field et al. [148].

The calculated TBRinterlayer values for AlN, SiC, and Al> 0.6 Ga< 0.4 N layers compare very
favourably with the lowest TBReff values of 9(+3.9/-1.7) m2 K GW-1 measured for a 5 nm thick
SiNx interlayer [149]. Whilst it is important to bear in mind that these numbers are below the
lower limit of what is practically achievable, an advantage of crystalline interlayers is that low
values can be realised with much thicker interlayers. Using only 5 nm of SiNx could introduce
concerns during the diamond growth of damage to the GaN as it has been shown that with
inadequate protection, pin holes can be etched through the GaN [156]. This experimental work
indicates that the SiC layers are particularly resilient to the diamond growth conditions although
low Al containing AlGaN layers could suffer from the same issues as GaN.

6.3 Summary

Crystalline interlayers have been investigated both experimentally and theoretically as an alter-
native to amorphous interlayers for growth of polycrystalline diamond on GaN HEMTs. In this

146
6.3. SUMMARY

F IGURE 6.11. Phonon density of states of diamond, 6H-SiC, GaN, and AlN. Reproduced
with permission from Mounet et al. [121], Patankar et al. [243], Davydov et al. [81],
and Bungaro et al. [244] respectively.

work, a thin Al0.32 Ga0.68 N layer has be incorporated into an AlGaN/GaN HEMT epitaxy and used
as an etch stop layer as well as a diamond growth interlayer. Microstructural characterisation and
thermal measurements indicate that such a low Al content AlGaN layer does not offer the desired
improved thermal transport or resilience to MPCVD diamond growth. However, co-deposition
of a crystalline SiC layer has resulted in an atomically smooth interface with good thermal
transport. A TBReff value of 30±5 m2 K GW-1 has been measured, similar to the state-of-the-art
values seen for similar thickness of SiNx layers. This is believed to arise from SiC’s increased
propensity for carbide bonding with diamond as well as its increased resistance to the harsh
diamond growth conditions. Calculations suggest that single crystal SiC and AlN interlayers
could enable extremely low TBReff with a predicted lower limit of less than 2 m2 K GW-1 for
30 nm thick layers. High Al containing AlGaN (> 60%) layers could also offer a route to very low
TBReff and have the added benefit of being introduced during the GaN epitaxy and reducing the
complexity of processing.

147
HAPTER
7
C
O VERGROWN D IAMOND H EATSPREADERS ON N- POLAR G A N

itrogen polar GaN is a promising novel material for high power, radio frequency (RF)

N electronics as discussed in section3.1. Whilst this is a more challenging polarity to


grow than conventional Ga-polar GaN, initial results show a lot of promise for high
power density amplifiers [245, 246]. The exact benefits of N-polar GaN and the challenges of
its growth are discussed in detail in chapter 3.1. However, these devices still suffer from the
highly localised, Joule self-heating exhibited by their Ga-polar counterparts. It is therefore
vital for thermal management to be optimised to allow for devices to operate at their limit
without compromising device reliability. In this chapter, thin (≤ 2µm) polycrystalline diamond
heatspreaders are overgrown onto N-polar GaN-on-sapphire and GaN-on-SiC structures using
a thin SiNx interlayer. This builds on work which has been carried out for Ga-polar devices
[35, 37, 151, 152].

This approach is slightly different to that explored in chapter 6. In that scenario, the diamond
was acting as an integrated heatsink, with the aim of removing heat from the GaN device into a
larger heatsink on the back side. In the format explored in this work, the thin diamond layer
is acting as a heatspreader grown on top of device passivation. The role of the diamond for
thermal management is markedly different in this scenario; heat is not being dissipated through
the diamond into another heatsink, instead the diamond offers a route for heat to be spread
laterally away from the hot spot before being drawn back through the GaN and substrate and
into a backside heatsink, see Fig. 7.1(a). This structure also offers the potential for back-side and
top-side heat extraction by attachment of a heatsink on both faces, resulting in much improved
thermal management, schematically demonstrated in Fig. 7.1(b) [247].

Despite significant electrical and thermal characterisation of over grown diamond on Ga-polar
GaN, there is only one report of direct thermal characterisation [35]. This characterisation was

149
CHAPTER 7. OVERGROWN DIAMOND HEATSPREADERS ON N-POLAR GAN

(a) (b)
Passivation Heat Sink
S G Diamond D
Die Attach
GaN S G Diamond D
GaN
Substrate
Substrate
Die Attach
Die Attach
Heat Sink
Heat Sink

F IGURE 7.1. Schematic comparing heat extraction from devices with (a) only overgrown
diamond heatspreaders and (b) an overgrown diamond heatspreader integrated
into a flip chip configuration.

performed by Zhou et al. [35]. Transient thermoreflectance (TTR) was combined with finite
element analysis (FEA) to understand the benefit of different thicknesses of polycrystalline
diamond heatspreaders grown on a typical GaN-on-Si HEMT structure using 50 nm of amorphous
Si3 N4 as an interlayer [35]. The TBReff between the GaN and diamond was characterised as was
the diamond thermal conductivity for films ranging from 155 to 1000 nm thick. These films were
grown at a relatively low diamond growth temperature of 650°C compared to more conventional
diamond growth temperatures of around 800°C [118]. Growth conditions were kept constant for
all films. The diamond thermal conductivity was considered to have one bulk thermal conductivity
and varied from 50 W m-1 K-1 for 155 nm thick film to 350 W m-1 K-1 for the 1000 nm thick
film, consistent with other values seen in the literature [138]. The TBReff values seen in this
work were quite considerable and had a large variation, ranging from 90 m2 K GW-1 for the
250 nm thick film to 45 m2 K GW-1 for the 800 nm thick film. The variation was ascribed to
inconsistent diamond seeding density which gives rise to a variation in thermal conductivity of
the near-nucleation diamond (see chapter 3.2), a large contributor to TBReff . Regardless of this,
the thermal resistances were consistently higher than the state-of-the art for GaN-on-diamond
which are around 10-20 m2 K GW-1 [149, 248]. This is a result of the thick Si3 N4 interlayer of
around 40 nm. Recent reports have seen TBReff values dropping below 10 m2 K GW-1 when using
SiNx layers as thin as 5 nm [149]. The benefit of the diamond layers was investigated using
FEA simulations of a 16 × 125 µm-wide, 50 µm gate-pitch HEMT. The diamond thickness was
varied, changing the diamond’s thermal conductivity and TBReff to match the experimentally
measured values. For a power dissipation of 5 W mm-1 , a common power dissipation for GaN

150
radio frequency power amplifiers [25], and assuming an isotropic diamond thermal conductivity
there was a peak temperature decrease of 12% when using a 1000 nm diamond layer grown on
the source-drain opening. Removing TBReff results in a further decrease of 10% showing the
room for improvement in reducing TBReff . However, as discussed in chapter 3, polycrystalline
diamond has an extreme anisotropy in its thermal conductivity as a result of its columnar grain
structure. Its lateral thermal conductivity tends to be significantly lower than its cross-plane
thermal conductivity meaning this assumption is a poor one. This is likely to be particularly
important where diamond is acting as a heatspreader not a heatsink. When anisotropy was
introduced into the simulations, the heat spreading effectiveness for a 1000 nm thick layer was
reduced and the temperature reduction was only 8%. This result is presented in Fig. 7.2. Further
simulations indicated that there was little benefit of growing more than 2 µm of diamond on top
of the device although a larger benefit was seen for thicker films when considering anisotropy.

F IGURE 7.2. Simulated peak temperature of a GaN HEMT operating at an output


power density of 5 W mm-1 using different thicknesses of overgrown polycrystalline
diamond heatspreaders. Considering anisotropy of diamond thermal conductivity
lead to an increased peak temperature for films < 10µm thick. Reproduced with
permission from Zhou et al. [35].

The work presented here builds on this work using much thinner SiNx layers of < 20 nm thick
to improve TBReff and aims to quantify the impact of different thicknesses of diamond when using
sapphire and SiC GaN growth substrates. Silicon carbide substrates provide improved thermal

151
CHAPTER 7. OVERGROWN DIAMOND HEATSPREADERS ON N-POLAR GAN

management for the fabricated devices with its high thermal conductivity of ∼ 400 W m-1 K-1
[8]. Whilst sapphire substrates are innately inferior from a thermal perspective due to their
much lower thermal conductivity of ∼30 W m-1 K-1 [23], they have a number of advantages for
the growth of N-polar GaN such as lower substrate cost and more developed N-polar epitaxy
technology [249]. A method to improve thermal management for such devices is vital to fully
exploit these advantages. In addition, a multi-step diamond growth procedure has been employed
which seeks to thin the region of nanocrystalline diamond near the interface, giving larger
diamond grains for equivalent diamond layer thicknesses compared to conventional growth
procedures. This would have the effect of increasing the diamond’s average thermal conductivity
as well as reducing its contribution to TBReff .

7.1 Experimental Methods and Materials

Gallium nitride and diamond growth were all performed by Mohamadali Malakoutian at Stanford
University, full details are given in [36]. Approximately 1.7 µm of N-polar GaN was grown on a
2" sapphire wafer by metal organic chemical vapour deposition in a Thomas Swan close-coupled
showerhead reactor [249, 250]. The GaN-on-SiC sample was prepared in a similar manner with
a 1.3 µm layer of semi-insulating GaN buffer grown on a miscut SiC substrate. Approximately
100 nm of alternating Si-doped GaN and Alx Ga1-x N device layers were then grown on this. In both
cases, either a 5 nm or 20 nm thick layer of amorphous Si3 N4 was deposited on the top side using
the same reactor. The resulting wafers were cut into 5 × 5 mm2 pieces and seeded with diamond
nanoparticles using a polymer-assisted dip seeding technique. In this, the samples were dipped
in a poly(diallydimethyammonium chloride) solution for 30 minutes to give the surface a positive
zeta potential before being dipped into a solution of oxygen terminated diamond nanoparticles
with an average size of 5 nm. These particles have a negative zeta potential meaning they are
electrostatically attracted to the Si3 N4 surface [158]. The result is self-assembly on to the surface
giving a high density of particles on the surface of > 1012 cm-2 [158]. A series of polycrystalline
diamond films were then grown between 250 nm and 2000 nm by micro-wave plasma assisted
chemical vapour deposition (CVD) using an SDS 5000 series CVD reactor from Seki diamond
Systems. A multi-step growth process was employed to minimise the thickness of the near-
nucleation diamond layer [153]. A 15 minute nucleation phase was carried out using a 10% CH4
to H2 ratio, using a low power density plasma (600 W) keeping the substrate temperature at
800°C. The role of this stage was to encourage lateral growth of the diamond, forming a coalesced
diamond film which protects the GaN and reduces the density of voids. However, such a high
methane concentration will result in a high sp2 content and poor quality diamond [241]. For this
reason, once nucleation was complete, the growth conditions were quickly switched, reducing the
CH4 concentration to 1% and increasing the plasma power density to around 1600 W. Lowering
methane concentration will have the effect of reducing growth rates but gives purer diamond

152
7.1. EXPERIMENTAL METHODS AND MATERIALS

with larger grain size. After growth, samples were cooled slowly at ∼15 K min-1 to reduce thermal
shock, lowering the chances of delamination. This was performed in a H2 plasma to prevent the
formation of sp2 carbon on the diamond surface. A variety of control samples without diamond
were also prepared to quantify the thermal conductivity of different layers within the stack. Full
details of the samples investigated are shown in Table 7.1 and schematics of the samples with
diamond are shown in Fig. 7.3.
Scanning transmission electron microscopy (STEM) and energy dispersive x-ray spectroscopy
(EDS) was undertaken at Stanford university using a JEOL JEM2100F-AC. Raman spectroscopy
was carried out to assess the quality of the diamond films as well as the residual strain in the
films. This was carried out using a Renishaw InVia spectrometer using a 488 nm laser excitation
source at Stanford University and the University of Bristol. The quality of the diamond films was
assessed using the line width of the sp3 carbon, D-band at 1332 cm-1 as well as the ratio of the
peak area to the G-band at approximately 1500 cm-1 . The G-band originates from non-diamond,
graphitic carbon and the ratio of the areas of these peaks is one metric for investigating the
quality of the diamond films [251]. The strain in the diamond film was assessed by studying the
D-band peak position. Movement away from 1332 cm-1 and asymmetry in the peak is indication
of strain in the diamond which can be quantified using the calibration for polycrystalline diamond
of 2.37 cm-1 GPa-1 .

Table 7.1: Details of the structure and layer thicknesses of the diamond-on-N-polar-GaN and
control samples. Alx Ga1-x N thickness is the sum of multiple thin Alx Ga1-x N layers and the x
value quoted is a weighted average. Substrates are all > 400 µm thick.
Alx Ga1-x N
Diamond Si3 N4 Device GaN buffer
Sample thickness thickness layer x thickness Substrate
(nm) (nm) thickness (nm)
(nm)
Sa1 - - - - - Sapphire
Sa2 - - - - 1700±50 Sapphire
Sa3 250±25 20 - - 1700±50 Sapphire
Sa4 500±25 20 - - 1700±50 Sapphire
Sa5 600±25 20 - - 1700±50 Sapphire
Sa6 700±25 20 - - 1700±50 Sapphire
Sa7 150±25 5 81 0.22 1350±50 Sapphire
SiC1 - - 103 0.22 1300±50 SiC
SiC2 2000±200 5 103 0.22 1300±50 SiC

Transient thermoreflectance was carried out using the experimental setup detailed in section
4.2. A 150 nm Au transducer and 10 nm Cr adhesion layer were deposited by thermal evaporation
on all samples, see Fig. 7.3. Fitting was carried out by solving the heat diffusion equations
through a multilayer stack using the axisymmetric transmission line model. An adapted least
squares fitting was used to extract unknown properties, in this case TBRmet , diamond cross-

153
CHAPTER 7. OVERGROWN DIAMOND HEATSPREADERS ON N-POLAR GAN

plane thermal conductivity, and TBReff . Both TBR properties are lumped thermal boundary
resistances containing thermal resistance arising from the interface of dissimilar materials
and thin interfacial layers. For TBRmet this layer is the 10 nm Cr layer whilst TBReff contains
contributions from the Si3 N4 layer as well as the near-nucleation diamond. The contributions
to this property are discussed in depth in chapter 3.3. The fixed properties were taken from the
literature and are shown in Table 7.2. The thermal conductivity of the substrates, GaN buffer,
and Alx Ga1-x N layers were measured using the samples Sa1, Sa2, and SiC1 prior to measurement
of the diamond containing samples. To account for the uncertainty and variation in some of the
layer thicknesses, fitting was repeated for each trace, varying the thickness slightly within the
error shown in Table 7.1. These multiple fits were then used to give a mean value and standard
deviation for the fitted properties.

F IGURE 7.3. Schematics showing the N-polar samples with diamond deposited studied
with TTR. In (a) samples with a sapphire substrate are shown whilst (b) shows
the sample using a SiC growth substrate. The Au transducer deposited by thermal
evaporation is also shown.

Finite element simulations were used to investigate how much benefit these different diamond
layers could have on prospective GaN devices. A simple 2-D model was created in Ansys using
the layer structures grown in this work and using the thermal properties extracted using TTR,
shown in Fig. 7.4. The TBReff was created using a thin layer between the diamond and the GaN
whilst the diamond was treated as a having a homogeneous thermal conductivity throughout the
whole layer. The anisotropy of the diamond thermal conductivity was considered using the κ|| / κ⊥
of ∼0.4 calculated in the work published by Anaya et al., [138] using the adapted Callaway model.
A model of the heating within a GaN RF HEMT was created by placing a small (50 nm × 500 nm)
heater bar within the middle of a 40 μm wide structure. This structure recreates a lateral device
with a wide gate. The bottom edge of the substrate had a constant temperature condition applied
of 22°C to recreate a heatsink whilst internal heat generation was used to recreate a power
dissipation varying from 0.5 to 5 W mm-1 within the GaN channel. In addition, the impact of

154
7.2. RESULTS

Table 7.2: Thermal and material properties used as fixed variables for and extracted from
transient thermoreflectance fitting. All properties were assumed to be temperature independent
in the small < 10 K temperature rise induced by the measurement.
Density Specific Heat
Material κ⊥ (W m-1 K-1 ) κ|| (W m-1 K-1 )
(kg m-3 ) (J kg-1 K-1 )
Au 200 [229, 252] Isotropic 19320[117] 128[117]
176±24†⋄
GaN Isotropic 6150 [117] 490[231]
151±15†*
5882
Al0.22 Ga0.77 N 32±6† Isotropic 5882 [146]
[146]
Sapphire 30±4† Isotropic 3980 [23] 761 [23]
6H-SiC 250±15† 390 [67] 3210 [67] 690[67]
Diamond Fitted Calculated [138] 520 [232] 3515[117]
†Indicates fitted properties
⋄ Thermal conductivity extracted for sapphire samples.
*Thermal conductivity extracted for SiC samples

decreased anisotropy in the diamond thermal conductivity, variations in TBReff , and diamond
thermal conductivity were investigated.

F IGURE 7.4. Presented are the temperature distributions within modelled GaN-on-SiC
RF devices, simulated using 2-D finite element simulations of a simple device with
a large gate width with a 50 × 500 nm heater bar within the GaN device layer. In
(a) a simple GaN-on-SiC structure is presented whilst (b) shows the temperature
distribution with the addition of a 2 μm thick polycrystalline diamond layer.

7.2 Results

Scanning electron microscopy images shown in Fig. 7.5 highlight the issues of thicker (> 1 μm)
diamond growth on GaN-on-sapphire. For sample Sa7, Fig 7.5(a), one can see ripples and creases
in the surface, indicative of regions of delaminated diamond, and cracks can be seen through
the diamond. However, for sample SiC2, shown in Fig. 7.5(b), these features are not present and
the diamond has not delaminated. This is a result of the improved CTE matching of SiC and
diamond, reducing residual stress in the structure compared to sapphire. Fig. 7.5 (c)-(f) show

155
CHAPTER 7. OVERGROWN DIAMOND HEATSPREADERS ON N-POLAR GAN

how the multi-step growth conditions used in this work affect the diamond grain structure. Fig.
7.5(c) shows the diamond layer after the initial growth stage using low power densities and high
methane concentrations. This image, and the cross-section insert, show a coalesced film made
up of small, anisotropic grains with the characteristic columnar growth. Fig. 7.5(d)-(f) show how
the subsequent, high power, low methane concentration growth promotes large diamond grain
with a more isotropic structure. Scanning transmission electron microscopy and EDS was used to
examine the Si3 N4 interface of sample SiC2 following diamond growth, shown in Fig 7.6. This
figure indicates that the diamond near-nucleation region is approximately 30 nm thick whilst the
Si3 N4 interlayer has been shrunk to around 1 nm thick with some carbon diffusion into it.

F IGURE 7.5. Scanning electron micrographs of diamond films. (a) and (b) show low
magnification images of samples Sa7 and SiC2 respectively. Diamond layers crack
and delaminate when grown using a thin Si3 N4 layer on a sapphire substrate but
not on a SiC substrate. (c) shows the diamond grain structure after the initial,
lower power density, high methane concentration growth stage where the insert
shows a cross-section view. (d)-(f) show the more isotropic, large grain structure
achieved following the second, high power, low methane concentration growth step.
Images reproduced with permission from Malakoutian et al. [36].

The thermal properties of the control samples (Sa1, Sa2, and SiC1) were characterised and
are presented in Table 7.2. The sapphire and GaN thermal conductivity were in good agreement
with literature values [23, 253]. It is noted that the SiC thermal conductivity was found to be
on the low end of that reported, for instance Wolfspeed report a measured cross-plane thermal
conductivity of ∼390 W m-1 K-1 [8]. However, recent studies using TTR have shown that the
thermal conductivity of SiC can vary significantly for different suppliers and values have been
reported as low as <200 W m-1 K-1 [254]. This study has indicated that the thermal conductivity
of the SiC is very dependent on the crystal’s quality and any damage introduced by processing

156
7.2. RESULTS

after growth. The AlGaN layer had a very low thermal conductivity which is to be expected given
the alloy scattering and has been seen previously for these kinds of structures [105].

F IGURE 7.6. Scanning transmission electron micrographs of the diamond/GaN interface


for SiC2 following diamond growth. This shows that the diamond nucleation region
is approximately 20 nm thick whilst the Si3 N4 interlayer has been shrunk to
around 1 nm thick. Energy dispersive x-ray spectroscopy (EDS) indicates that
portions of this layer have converted into SiC. Images reproduced with permission
from Malakoutian et al. [36].

An example of a fitted trace for sample SiC2 is shown in Fig. 7.7(a) and shows good agreement
between the analytical model and the experimental data. The values of diamond thermal conduc-
tivity and TBReff are summarised in Fig. 7.7 (b) which shows that for samples Sa4-6 the diamond
thermal conductivity increases with film thickness from 53±14 W m-1 K-1 for a 150 nm film up
to maximum of around 100 W m-1 K-1 for 600 and 700 nm thick films. TBReff is a minimum for
the thinnest film at 12±2 m2 K GW-1 increasing to 20±5 m2 K GW-1 . Comparison of the mean
values using analysis of one way variance testing indicates that Sa3 has a mean TBReff which is
significantly lower than Sa5 and 6. In fact the TBReff of Sa6 is significantly higher than all the
other samples. At its lowest, for sample Sa3, TBReff is approaching the limit expected for a 20 nm
thick Si3 N4 layer of 11.7 m2 K GW-1 , assuming a thermal conductivity of 1.7 W m-1 K-1 [161].

157
CHAPTER 7. OVERGROWN DIAMOND HEATSPREADERS ON N-POLAR GAN

For the diamond on GaN-on-SiC, the diamond thermal conductivity is significantly higher than
for all sapphire samples at 638±48 W m-1 K-1 , similar to the predictions made by Anaya et al. of
approximately 700 W m-1 K-1 [34]. The TBReff of this sample is very low at < 5 m2 K GW-1 , below
the sensitivity of this equipment, and approaching the limit expected for a 5 nm thick Si3 N4 of
2.9 m2 K GW-1 [161].

F IGURE 7.7. (a) shows an example of a fitted transient thermoreflectance trace for
sample SiC2. The grey band is the experimental measurements plus and minus one
standard deviation. The red line is the best fit allowing TBReff to vary whilst the
black line when fitting with TBReff = 5 m2 K GW-1 whilst allowing other parameters
to vary within their error; (b) presents the fitted diamond thermal conductivity and
TBReff values for samples Sa3-6 (progressively thicker diamond) and SiC2 (2 µm
diamond). The dotted lines indicate the minimum TBReff for a 5 and 20 nm thick
Si3 N4 layer respectively assuming a thermal conductivity of 1.7 m2 K GW-1 [161].

Raman spectra are examined for diamond grown on sapphire and SiC in Fig. 7.8. It can be
seen that the diamond has a large amount of compressive residual stress for the sapphire samples
-6.2 GPa whereas the diamond film for the sample grown on GaN-on-SiC is considerably more
relaxed with a residual stress of -1.1 GPa. The SiC sample has a much larger D peak compared to
the G and transpolyacetylene peaks [130], although this is to be expected considering the much
thicker diamond film for this sample compared to those grown on the sapphire samples.
Fig. 7.4 shows examples of the results the FEA simulations at 5 W mm-1 for the results of no
diamond on GaN-on-SiC (SiC1) and for SiC2 with the diamond overlayer. These images show
the temperature distribution within the different layers when 5 W mm-1 of heat is dissipated
within the 500 × 500 nm heater bar in the centre of the GaN layer. The results for GaN-on-
sapphire are not presented as the diamond layer was found to make very little difference to
the peak temperatures. In fact, even at 0.5 W mm-1 , the peak temperatures of this material
exceeded 200°C with even the thickest diamond layers. However, for SiC based samples, the
diamond heatspreader did play a role. At 5 W mm-1 , the peak temperature was reduced from
339°C to 310°C, a decrease in temperature rise of 10%. Further simulations were undertaken to

158
7.3. DISCUSSION

F IGURE 7.8. Raman spectra of the diamond, sp2 D, transpolyacetylene (Trans-PA),


and sp2 G peaks of samples grown on GaN with (a) a sapphire substrate and (b)
a SiC substrate. The vertical dotted line indicates the Raman peak position of
unstressed, high quality diamond at 1332 cm-1 . Images reproduced with permission
from Malakoutian et al. [36].

investigate the importance of the anisotropy of the diamond’s thermal conductivity, the diamond
thermal conductivity, and the TBReff which are presented in Fig. 7.9. In these simulations, all
parameters were kept constant with the previous simulations apart from the parameter varied.
The measured scenario is highlighted by the white dots in Fig 7.9. For the case of TBReff , it is
reiterated that this point is a higher bound.

7.3 Discussion

Examination of the microstructure shows the benefit of the multi-stage diamond growth process.
The use of the initial, low power growth results in a rapid coalesence of the diamond film,
protecting the Si3 N4 from the harsh diamond conditions. This allows for use of thinner Si3 N4
layers, improving the thermal performance of the interface, see section 3.3. The later high power,
low methane diamond growth steps encourages the growth of large grains with a more isotropic

159
CHAPTER 7. OVERGROWN DIAMOND HEATSPREADERS ON N-POLAR GAN

structure, improving the thermal characteristics of the diamond.

The trend of increasing thermal conductivity for thicker films seen in this work is to be
expected considering the increase in the average diamond grain size seen with the columnar
growth of these films (Fig. 7.7(b)). One anomaly seen in these results is the magnitude of the
thermal conductivity of the diamond films grown on the GaN-on-sapphire. These values were
considerably lower than those predicted from the adapted Callaway model used by Anaya et al.
(described in detail in chapter 3.3). This model suggests a cross-plane thermal conductivity of
131 and 273 W m-1 K-1 for 250 nm and 700 nm thick films respectively. However, in this work
much lower thermal conductivities of 53±2 and 102±12 W m-1 K-1 are seen. One explanation can
be found from the Raman spectra of these films shown in Fig. 7.8 [36]. These spectra show the
diamond films on GaN-on-sapphire are very strained, with residual stress of up -6.1 GPa and a
prominent G band indicating a large amount of sp2 . This will lead to a lower thermal conductivity
[135]. For sample SiC2, the measured thermal conductivity of the 2 μm thick diamond film
(638 ± 48 W m-1 K-1 ) was slightly greater than that predicted by the adapted Callaway model
of 508 W m-1 K-1 [138]. This could be a result of the multi-step growth process reducing the
thickness of the nanocrystalline nucleation region of the diamond film to around 30 nm (Fig.
7.6), increasing the average thermal conductivity of the film. Microstructural analysis also
indicates that this region is smaller for SiC2 compared to the GaN-on-sapphire samples where
the nanocrystalline region was seen to be approximately 100 nm thick. The quality of the diamond
film is evident given the small transpolyacetylene peak and G band in the Raman spectrum (Fig.
7.8).

The effective thermal boundary resistance of Sa3, with the thinnest diamond film, is very
low, 12±2 m2 K GW-1 . This value is approaching the expected limit for a 20 nm Si3 N4 of
11.8 m2 K GW-1 , assuming a thermal conductivity of 1.7 W m-1 K-1 [161]. Considering the other
contributions to TBReff , one would expect the measured TBReff to be greater than this limit. One
explanation can be found in the diamond growth conditions. Transmission electron microscopy
images show that the multi-step process used for diamond growth results in shrinking of the
Si3 N4 layer by up to 10 nm [153], a result of etching of the layer by the harsh diamond growth
conditions as well conversion to SiC by carbon diffusion.

For thicker diamond films on the same substrate (Sa 4-6) TBReff appears to be increasing
slightly up to a maximum value of 20 ± 3 m2 K GW-1 . Comparison of mean values by one-way
analysis of variance suggests that these differences are significant. There are a two potential
explanations for this variation. First, this could be an artefact of the fitting process in treating
the diamond as having homogeneous thermal conductivity throughout the whole layer. Funda-
mentally, one would expect TBReff to be determined early in the diamond growth process. The
properties of the near-nucleation diamond and the interface would not be expected to change
once the diamond film has coalesced and the film thickness exceeds a few hundred nanome-
tres. However, the fitting process treats the properties of the diamond film as being constant

160
7.3. DISCUSSION

F IGURE 7.9. Results of simulations for 2 µm of polycrystalline diamond on GaN-on-SiC


simulated using 2-D finite element simulations of a simple device with a large
gate width with a 50 × 500 nm heater bar within the GaN device layer. The effect
of varying the diamond cross-plane thermal conductivity simultaneously with
anisotropy of the diamond thermal conductivity (a), and TBReff (b) are presented.
Apart from the parameters varied, all thermal properties are consistent with those
measured or assumed in the previous simulation. White circles indicate the point
of the previous simulation.

throughout its thickness. Considering that the near-nucleation layer will have a very low thermal
conductivity [138], for thicker layers with a higher average thermal conductivity, some of the
thermal resistance of the near-nucleation region will be lumped into TBReff . For thinner films,
this low thermal conductivity layer will be included within the diamond thermal conductivity not
TBReff . Second, the increase in stress which occurred for thicker films could cause a disruption
in the interface and the formation of nanovoids in the diamond film to alleviate the residual
stress. The TBReff for SiC2 is essentially negligible, below the 5 m2 K GW-1 resolution limit
with this equipment. This is possible considering how thin the Si3 N4 layer is following diamond
growth with TEM images indicating it is ∼1 nm thick (see Fig. 7.6). Whilst it was attempted to
grow diamond on such a thin interlayer with the sapphire substrate, Sa7, it was not possible
to measure TBReff as the diamond layer delaminated (see Fig. 7.5). It is proposed that this is
due to the increased residual stress seen in the diamond film when using the sapphire substrate.
Sapphire has a much lower CTE than diamond whilst SiC is slightly better matched. This results
in an increased strain in the diamond film upon cooling from the diamond growth conditions.
However, previous measurements of TBReff for a variety of diamond films on GaN-on-Si do not
indicate any such correlation [35] and it is likely to be a result of fitting artefacts or general
sample to sample variation.
The FEA simulations of devices for GaN-on-sapphire show no benefit of introducing a diamond
heatspreader with very high peak temperatures (> 200°C) even at power dissipation as low as
0.5 W mm-1 . This reflects the fact that the thermal performance of a device depends on the total

161
CHAPTER 7. OVERGROWN DIAMOND HEATSPREADERS ON N-POLAR GAN

thermal resistance between the hot spot and the heatsink. The limiting factor for these devices
is the very large thermal resistance introduced by the thick, low thermal conductivity sapphire
substrate, not the lateral heat spreading within the GaN device layer. However, this is not the
case when using the high thermal conductivity SiC substrate (Fig. 7.4). Here it can be seen that
heat is spread laterally significantly through the diamond layer for the SiC2 resulting in the
considerable reduction in peak temperature rise of 10% (29°C) compared to without the diamond.
The high thermal conductivity of the SiC substrate means that its thermal resistance is not the
limiting factor and lateral heat spreading in the diamond is advantageous. The high thermal
conductivity of the SiC substrate combined with the possibility to grow thicker diamond layers
with high thermal conductivity on thinner SiNx layers means that this material structure is more
viable for high power RF devices than the GaN-on-sapphire structures studied.
Further simulations were carried out to investigate where further benefits could be gained
in such a structure as well as to compare to the work of Zhou et al. [35] (Fig. 7.9). In this
work, much effort has been employed in reducing the thickness of the near-nucleation region of
the diamond to give an increased, average diamond thermal conductivity and a more isotropic
thermal conductivity. Whilst it was not possible to measure the in-plane thermal conductivity of
the diamond film, the simulations used the average anisotropy ratio of 0.4 (κ|| /κ⊥ ) as calculated
by Anaya et al. When keeping TBReff at 5 m2 K GW-1 and the diamond thermal conductivity
constant, it can be seen that there is reduced benefit in increasing this ratio beyond this point,
with a maximum benefit of 5°C (Fig. 7.9(a)). For higher diamond cross-plane thermal conductivity,
the benefit is enhanced to around 15°C although 1400 W m-1 K-1 is well beyond what is currently
possible for 2 μm of polycrystalline diamond. There are greater returns to be made in decreasing
TBReff , even when keeping the diamond thermal conductivity at the value measured here (Fig.
7.9(b)). If this could be reduced to 1 m2 K GW-1 , there is the potential for around a 10°C drop in
peak temperatures. These simulations also useful when comparing this material to that studied
by Zhou et al. which possess a much high TBReff of around 40 m2 K GW-1 [35]. It can be seen
that such a high value would prohibit any significant benefit in the thermal management of the
device when using diamond heatspreaders.

7.4 Summary

Overgrown diamond films show some promise in thermal management of N-Polar GaN HEMTs
for RF applications. Whilst sapphire substrates are useful for producing good quality GaN devices
and lowering production costs, its low thermal conductivity is prohibitive in improving thermal
management. In addition, its low CTE results in issues with integrating diamond on top of
devices, limiting the diamond thickness to < 700 nm as well as requiring thick SiNx , increasing
TBReff . All this results in diamond over gown on N-polar GaN-on-sapphire having minimal
impact on the thermal performance of the device. On the other hand, there is some potential

162
7.4. SUMMARY

benefit to integrating diamond on top of N-polar GaN on SiC devices. This material is more closely
matched to the diamond CTE meaning thicker layers (2 μm) of diamond can be successfully grown
using much thinner SiNx layers. This combines to produce a material which is more capable of
lateral heat spreading whilst the high SiC thermal conductivity means that the increased lateral
heat spreading can be taken advantage of by the device. The result is a drop of 10% in the peak
channel temperature rise at 5 W mm-1 . The next steps are to successfully fabricate devices on
these material. This is more complicated as contacts must be fabricated on the surface covered by
the diamond. Either contacts must be fabricated prior to diamond growth or after which could be
achieved by etching through the diamond or masking areas of the GaN. In each scenario, there is
potential to degrade the surface or contacts and pose not insignificant technological challenges.

163
HAPTER
8
C
T HERMAL C HARACTERISATION OF WAFER BONDED S I - ON -S I C

ilicon on semi-insulating SiC is a composite material which has been suggested as an

S alternative to conventional silicon-on-insulator (SOI), particularly for devices in harsh


environments such as space [11–13, 19]. Whereas conventional SOI uses a layer of
insulating silicon dioxide to electrically isolate the devices from the Si substrate, Si-on-SiC takes
advantage of the electrical isolation offered by semi-insulating SiC. This provides two thermal
benefits: removal of the high thermal resistance arising from the buried oxide layer (BOX) and
exchanging the Si substrate with high thermal conductivity SiC which acts as an integrated
heatsink. This should provide significant benefits for passive cooling of devices when employed
for radio-frequency [12, 20, 21] and power conversion [11, 22]. The advantages of this could be
particularly important for deep space missions, reducing the number and weight of active-cooling
components, increasing the mission’s science capabilities. In this chapter, the focus for this
material will be on its application for propulsion of deep space missions. Such devices would
be required to support greater than 600 V and an example of a current SOI device which can
support this is shown in Fig. 8.1(a) whilst a proposed device for Si-on-SiC is shown in Fig. 8.1(b).
The field oxide and BOX of the SOI device were both 2 µm thick and an extended gate contact
was used in this device for improved electrical On-state performance. It is noted that electrical
properties are completely neglected in this chapter, hence doping regions are not included in the
schematics. However, contacts are included for completeness as they may improve heat spreading.
The Si drift region was 200 nm thick and 42 µm wide. The Si regions under the contacts were 1
µm thick. For the Si-on-SiC hypothetical device, the same dimensions were used but the BOX
was replaced with a 10 nm thick layer to recreate any thermal resistance between the Si and SiC.
In both cases, the substrate was 200 μm thick.

Direct wafer bonding is a process first developed for Si-based technologies centred on mi-

165
CHAPTER 8. THERMAL CHARACTERISATION OF WAFER BONDED SI-ON-SIC

F IGURE 8.1. (a) Device schematic of a SOI device which can support > 600 V with a
42 µm wide drift region and 2 µm thick buried oxide layer; (b) the same device on
a direct bonded 4H-SiC substrate. Heat was generated in the drift region during
simulations. Reproduced with permission from Field et al. [255].

croelectromechanical systems at the system-in-package level, arising from the robust nature
of the bonds formed [107]. However, the innovation of the SmartCutTM by Soitec (France) has
spawned a whole new field, using wafer bonding to integrate, thin, high quality, single crystal
films with alternative substrates. This process has found most interest in the fabrication of SOI
materials. The method is explored in detail in chapter 3.5 but will be briefly recapped here. First,
two Si wafers are thermally oxidised. One of these, the donor wafer, has a subsurface damaged
layer formed (called the bubble layer) using hydrogen ion implantation. This process has been
optimised so that very little damage is produced in the Si layer above the bubble layer and that
the bubble layer depth can be carefully controlled. The two wafers are brought in contact and
annealed. The elevated temperature results in two processes: the SiO2 layers are thermally
activated and react to form covalent bonds and the damaged bubble layer cracks, leaving the thin
Si layer from the donor wafer bonded to the new substrate. The donor wafer can then be reused for
the next process. The resulting bonded material is planarised and smoothed by chemo-mechanical
polishing to give a flat surface ready for device fabrication. Transmission electron microscopy

166
(TEM) shows defect free interfaces with no voids Fig. 8.2 [256]. This process is not limited to only
Si and similar processes have been developed for a variety of different materials. For instance,
Ge-on-insulator can also be fabricated in this manner, showing excellent, void free interfaces.
This process, as described above, gives high quality interfaces as well as great flexibility in the
thickness of the bonded films. It also has the added benefit that the thin film preserves its original
crystal orientation whilst the issues of coefficient of thermal expansion mismatch and lattice
mismatch (as covered in section 3.3) are negated to some extent by the possibility of using lower
temperatures.

Similar processes have been used in the bonding of wide and ultra-wide bandgap semicon-
dutors such as GaN-on-Si, -SiC, and -diamond as well as Ga2 O3 -on-diamond. These processes
can use the same principle as SmartCutTM but can also utilise processes such as laser cutting
to liberate the thin film. Alternative bonding processes are required compared to conventional
SOI as a result of thermal concerns arising from thick interfacial layers and the absence of
native oxides. One alternative is to sputter an amorphous layer of material onto the two wafers
in vacuum before briging the wafers together and annealing. In a similar manner to SOI, the
annealing thermally activates the interlayer, resulting in covalent bonding. A more nuanced
approach is surface-activated-bonding (SAB). In this process, the two wafers are loaded into
vacuum and the surface is chemically activated using reactive radicals. A similar process then
ensues, bringing the wafers together and annealing. The benefit of the second processes is that
the interfacial material is very thin. It is a result of the cleaning of the wafers and the chemical
reaction between them, not the introduction of a third material. It is this processes which is
employed for the bonding of the wafers studied in this chapter. One piece of equipment used in
many of these experiments is a wafer bonder. This allows for two wafers to be brought into contact
with some applied force. Typically, they allow control of the ambient pressure and temperature
during bonding and can also have the capacity to vary the ambient atmosphere during bonding.

Direct wafer bonding of Si with SiC has been an area of study which has made steady progress
over the last 15 years [11–13, 20, 21]. In the past, the bonding has been frequently carried out
utilising amorphous or polycrystalline layers rather than SAB. The materials used, such as poly-
crystalline SiO2 , polycrystalline Si, and amorphous SiO2 , typically have low thermal conductivity
compared to the SiC and Si. As discussed in-depth in chapters 2.2.4 and 3.3, the presence of these
t
materials at the interface can introduce a significant thermal resistance, R, given by R = κ
where
t is the thickness of the layer and κ its thermal conductivity. A summary of typical materials used,
their thicknesses, thermal conductivity, and resultant thermal resistance is given in Table 8.1.
This thermal resistance, combined with any other fundamental thermal resistances arising at
the interfaces of dissimilar materials gives us the lumped, effective thermal boundary resistance
(TBReff ). As has been covered in-depth in previous chapters, TBReff is an important parameter in
accurately calculating and simulating the temperature distributions within devices. However, it
has been frequently neglected when carrying out thermal characterisation of Si-on-SiC.

167
CHAPTER 8. THERMAL CHARACTERISATION OF WAFER BONDED SI-ON-SIC

F IGURE 8.2. Transmission Electron Micrograph of the bonded interface of a silicon-


on-insulator wafer produced using the SmartCutTM process. Reproduced with
permission from Bruel et al. [256]. Copyright (1997) The Physical Society of Japan
and The Japan Society of Applied Physics.

Table 8.1: Typical interfacial materials used for SOI and Si-on-SiC with their typical thicknesses,
expected thermal conductivity and resulting thermal resistance.
Expected Thermal Thermal
Interfacial Material Conductivity (W Thickness (nm) Resistance (m2 K
m-1 K-1 ) GW-1 )
Polycrystalline SiO2 <4 [52] 2000 [22] >500
Polycrystalline Si <20 [41] 800 [12] > 40
Amorphous SiO2 ∼ 1 [52] 2.5 [11] ∼ 2.5

In one of the first studies of Si bonded to SiC, Shinohara et al. bonded a 2" 6H-SiC wafer with
a Si wafer. Prior to bonding, the Si-face of the SiC wafer underwent chemo-mechanical polishing.
Bonding was performed in a wafer bonder with a 1000°C anneal, without the deposition of an
interfacial material. The resulting bond was a partial success although significant areas were
obviously unbonded, Fig. 8.3. To investigate the benefit of the SiC substrate, MOSFETs were
fabricated on the bonded wafer and on an equivalent, unbonded Si wafer. The electron mobility of
the Si was investigated after fabrication before both wafers were annealed at 300°C in air. The
electron mobility was once again characterised and compared to the mobility before annealing.
For material with superior thermal management, one would expect a lower surface temperature
and hence less thermal damage in the Si and a lower reduction in electron mobility. This is what
was observed; for the unbonded material, the electron mobility decreased significantly whilst for
the bonded material it was almost negligible. Whilst these results are promising for improved

168
thermal management in Si-on-SiC, they do not allow quantification of temperature within the
device. It is necessary to directly measure the thermal properties of the different materials and
the interface for accurate thermal simulations.

F IGURE 8.3. Optical image of the bonded Si-on-6H-SiC produced by Shinohara et al.
using no interfacial material. Unbonded regions are evident in large parts of this
wafer. Reproduced with permission from Shinohara et al. [13].

In another study, Lotfi et al. carried out bonding between a 150 mm diameter polycrystalline
SiC wafer and a conventional SOI wafer [20]. This process employed an amorphous Si interlayer
to aid bonding. This was deposited prior to IMEC cleaning of both wafers. This is a common
cleaning procedure used in Si fabrication to remove organic contaminants on the surface as well
as particulates. It works using a two step process. First, an oxidant (e.g. ozone/sulphuric acid) is
used to remove organics. This results in the formation of silicon oxide on the surface. The second
step uses HF to remove the oxide which also lifts off any particulates from the surface [257].
The hydrophilicity of the two wafers was then enhanced using a piranha solution (a mixture of
H2 SO4 , H2 O, and H2 O2 ), an oxidising agent which hydroxylates the surface. Bonding of the Si
and SiC wafers was then performed in a wafer bonder with the amorphous Si between the two.
A rapid thermal anneal was performed under Ar at 1100°C for a few seconds before a second,
furnace anneal was performed under N2 for 1 hour at 1000°C. This process was shown to result in
recrystallisation of the amorphous Si into a polycrystalline Si layer and a thin silicon oxide layer
was observed at the poly-Si/Si interfaces. Following bonding, the Si substrate of the SOI wafer
and the BOX were removed by a combination of mechanical lapping and wet etching. Resistors
were fabricated and calibrated to measure the temperature on the bonded material and the
original SOI material. These measurements indicated a temperature reduction of 25% in the
bonded material, showing the benefit of the hybrid material. However, the rather thick poly-Si
layer is likely to introduce a significant thermal resistance (Table 8.1) which could be reducing

169
CHAPTER 8. THERMAL CHARACTERISATION OF WAFER BONDED SI-ON-SIC

the benefit of the SiC heatsink. However, the thermal properties of this interface were neglected
in this work.
In the work discussed in this chapter, transient thermoreflectance (TTR) has been used to
characterise TBReff between Si and SiC bonded using two, SAB processes, a hydrophobic and
a hydrophilic process, as well as the thermal conductivity of the SiC used. Simulations have
been used to investigate the importance of the thermal properties of the interface and SiC and to
benchmark the benefit of Si-on-SiC over conventional SOI.

8.1 Materials and Methods

Material was produced by IceMOS Technologies, a commercial company, and by Farzan Gity at
Tyndall National Institute. In both cases, a conventional, 300 µm thick SOI wafer was bonded
to a semi-insulating, on-axis 4H-SiC wafer. The SiC wafer was grown commercially and had
undergone an optical polish on the C-face, the backside, and a chemo-mechanical polish on the
Si-face. At IceMOS, a hydrophobic bonding process, henceforth called bonding process 1 (BP1),
was performed. Initially, a set of 2 µm deep trenches were etched into the SiC wafer to offer a
route for gasses to escape during the bonding process. The Si-face of the SiC wafer and the topside
of the SOI wafer were both cleaned using a proprietary plasma process. A hydrophobic bonding
process was then carried out to bond the wafers before a 2 hour, 1200°C was used to make this
bond permanent and shrink the interfacial oxide which formed. The anneal introduced residual
stress in the bonded wafer, causing bowing. However, removal of the SOI Si substrate and BOX by
a combination of mechanical lapping and HF wet etch alleviated this. The second bonding process
(BP2) was carried out at Tyndall National Institute and utilised a hydrophilic bond. The surfaces
of the wafers were activated using a radical process which allowed for low-temperature bonding.
First, the wafers underwent an RCA clean. This is a four-step cleaning process commonly used
in the device fabrication of Si. First, organic residues are removed using a mixture of water,
ammonia, and hydrogen peroxide at elevated temperature (around 80°C). The oxidising nature of
this mixture efficiently removes organic contaminants whilst the accompanying change in zeta
potential of the wafer helps remove particulates by electrostatically repelling them. This stage
introduces an oxide layer as well as metal contaminants which are subsequently removed by a
HF dip at room temperature. The third step is an ionic clean using a mixture of aqueous hydrogen
peroxide and hydrochloric acid at around 90°C. Finally, the wafers are rinsed and dried. Following
the RCA clean, the wafers were subjected to another clean using an EVG wafer-cleaning tool with
a deionised megasonic nozzle. The clean wafers were then loaded into a wafer bonder with the
Si-face of the SiC wafer and the Si device layer of the SOI wafer exposed. These surfaces were
exposed to nitrogen free-radicals in situ, increasing the hydrophilicity of the surfaces. The wafers
were then brought into contact with one another, resulting in the formation of a temporary bond
between the wafers. Bonding was made permanent outside of the wafer bonder by annealing at

170
8.1. MATERIALS AND METHODS

F IGURE 8.4. Schematic of the two different process flows for producing direct bonded Si
on SiC using either a hydrophobic bonding process (BP1) at IceMOS technologies
or a hydrophilic bonding process (BP2) at Tyndall National Institute. Reproduced
with permission from Gammon et al. [11].

171
CHAPTER 8. THERMAL CHARACTERISATION OF WAFER BONDED SI-ON-SIC

300°C in N2 for twenty four hours. Similar to BP1, the Si handle wafer of the SOI wafer was
ground down to the BOX before HF was used to remove the oxide. A summary of both these
processes, and the resulting wafers are shown in Fig8.4. After bonding, TEM was carried out to
image the interface of both wafers. This was carried out at Warwick University for BP1 and at
the Tyndall National Institute for BP2.
Transient thermoreflectance was carried out on two pieces of each of these wafers on both the
bonded side and from the SiC backside. Additionally, measurements were made on either side of
SiC piece from a previous bonding trial. This trial had resulted in a weaker bond and the Si could
be purposefully delaminated with tweezers. A 150 nm thick Au transducer was deposited with a
10 nm Cr adhesion layer. Measurements were undertaken using a 532 nm, continuous wave probe
laser (1/e2 spot size 1 µm) and a diode pumped passively Q-switched 355 nm, 1 ns pulsed pump
laser. The spot size of the pump spot was estimated using a piece of standard Si and found to be
89+30/-28 μm (errors are the 10th and 90th percentiles of the fitted distribution). For the samples
of interest, the SiC cross-plane thermal conductivity, TBReff , and thermal boundary resistance
between the transducer and material were fitted using the procedure detailed in Section 4.2. A
schematic of these measurements is shown in Fig. 8.5. It is important to note that there is little
sensitivity to the in-plane thermal conductivity using this experimental set-up. The in-plane
(a-direction) thermal conductivity was therefore assumed to be 6/5 of the cross-plane (c-direction)
value, equivalent to results reported by Wolfspeed [8].

F IGURE 8.5. Schematic of material measured using TTR and the location of fitted
thermal boundary resistances. (a) is a debonded piece of SiC and (b) shows an
example of a bonded piece. Reproduced with permission from Field et al. [255].

172
8.1. MATERIALS AND METHODS

Error in the fitted parameters was estimated using a Monte Carlo error analysis. This is
a standard procedure for estimating error in fitted parameters for systems containing many
parameters with covariance [258]. An error distribution is given to all fixed parameters and
variables. Fitting is repeated N times, each time sampling values from these error distributions
to produce new parameter sets. For most variables, a normal distribution was assumed for error
distributions. The error in each point of a trace from a single location was estimated from the
standard deviation of multiple collected traces. Variation across the samples was also taken into
account, propagating these errors when averaging traces from multiple locations. We note that all
locations averaged showed similar temperature decay, indicating similar thermal properties at all
locations. The standard deviation of the fixed parameters was estimated as 2% for all literature
values whilst the Si and Au thickness was estimated as having a 5% standard deviation due
to greater uncertainty. A 1% standard deviation was used for pump pulse length whilst pump
spot size was estimated with the normal distribution detailed above. Fitting was carried out
2000 times. An additional filter was introduced to remove sets of parameters with which it was
not possible to fit the data well. The cut off value was used as ten times the best goodness of fit
measured. This prevents overestimation of error for nonphysical parameter sets. The values of
the fixed parameters used are shown in Table 8.2.

Finite element analysis (FEA) was used to model the thermal performance of a device capable
of supporting 600 V fabricated on this material and on a conventional SOI wafer using Ansys.
These simulations were carried out using the structures shown in Fig. 8.1, using a simple 2-D
model to approximate a multi-finger transistor with a large gate width. Heat was generated within
the Si drift region to recreate Joule self-heating during device operation at power dissipation
ranging from 0.5 to 5 W mm-1 . The importance of TBReff and the SiC thermal conductivity were
also investigated.

Table 8.2: Fixed parameters used for transient thermoreflectance fitting. All parameters are
assumed to be temperature independent in the small temperature rise induced by measurement
of < 10 K.
Cross-plane In-plane
Heat
Thermal Thermal Density (Kg Thickness
Material Capacity (J
Conductivity (W Conductivity m-3 ) (nm)
Kg-1 K-1
m-1 K-1 ) (W m-1 K-1 )
Au 200 [252, 259] Isotropic 128 [117] 19320 [117] 150
Si 140 [260] Isotropic 700 [67] 2329 [67] 1200
6
4H-SiC* Fitted 5 κ⊥ [8] 690 [67] 3211 [67] 300,000
*On-axis, Semi-insulating.

173
CHAPTER 8. THERMAL CHARACTERISATION OF WAFER BONDED SI-ON-SIC

8.2 Results and Discussion

The TE micrographs are presented in Fig. 8.6. For BP1, these show the presence of a thin,
non-uniformly thick amorphous oxide layer at the Si/SiC interface. This layer ranged from
< 0.2 to 2.5 nm thick in the regions imaged. The formation of this oxide occurs during the initial
bonding process and is subsequently shrunk via the high temperature, post-bonding anneal
(1200°C, 2 hours) which aims to diffuse out the oxygen. This annealing process is also the cause of
its non-uniformity. In different regions of the wafer, different amounts of oxygen could be diffused
out resulting in the variation of interface oxide thickness. A similar amorphous oxide layer was
seen for BP2 although this has a uniform 2.5 nm thickness in all regions imaged. This is because
no high-temperature anneal was used to shrink its thickness so no variation in oxygen diffusion
occurred.

F IGURE 8.6. Presented here are high resolution transmission electron micrographs of
the samples produced by (a) BP1 (reproduced with permission from ref. [170]) and
(b) BP2. Highlighted is the amorphous, oxide layer present between Si and SiC for
both processes. Reproduced with permission from Field et al. [255].

The results of the TTR are presented in Table 8.3 whilst fitted traces and the histograms of
fitted parameters from Monte Carlo analysis are shown in Fig. 8.7. Initially, the measured SiC
thermal conductivity from the C-face was intended to be measured for each bonded sample and
fixed for the analysis from the Si face. However, this was not possible. A significant variation
was noted in the SiC near-surface thermal conductivity from the Si to C face. To confirm this
was real, analysis was undertaken on the delaminated sample on both faces and this variation
was consistent. Interestingly, further analysis of SiC from other supplies has shown that this
phenomenon, whilst not ubiquitous, is present in a variety of samples [254]. It is suggested
that the origin of this variation is the different polishing and surface processing used for these
different faces. Raman studies of polishing damage in SiC have shown that sub-surface damage
can extend 10s of microns below the surface of the SiC. The Si-face, which had a final CMP polish,
is likely to have undergone more processing which could have produced more subsurface damage.

174
8.2. RESULTS AND DISCUSSION

Sensitivity analysis of the TTR fitting suggests that the thermal penetration depth using this
setup is on the order of 50 µm i.e. a significant proportion of the SiC sampled using TTR could be
damaged resulting in the much lower averaged thermal conductivity than expected. The variation
from face to face could also explain the variation from sample to sample. The delaminated sample
and BP2 sample showed similar SiC properties whilst that used for BP1 has significantly higher
thermal conductivity. It is proposed that this discrepancy also arises from changes in processing.
Whilst all SiC samples were purchased from the same vendor, those used for BP1 were purchased
a number of years earlier than those for BP2 and the delaminated sample. Changes in growth
procedure and post-processing could be having a substantial impact on the near-surface thermal
conductivity of the SiC.

Table 8.3: Thermal properties and thermal boundary resistances extracted using TTR. Error bars
are the 10th and 90th percentiles of the distributions fitted to the results of the Monte Carlo
analysis. All properties are assumed to be temperature independent as the temperature rise is <
10 K.
SiC C-face SiC Si-face
TBRmet Cross-plane TBRmet Cross-plane
TBReff (m2
Sample C-face (m2 Thermal Si-face (m2 Thermal
K GW-1 )
K GW-1 ) Conductivity K GW-1 ) Conductivity (W
(W m-1 K-1 ) m-1 K-1 )
BP1 11±1 486±65 N/A 323±26 6+4/-2
BP2 7.1±0.8 384±50 N/A 259±51 9+3/-2
Purposefully
Delaminated 6.4±0.6 367+47/46 4.5±0.6 211+39/-36 N/A
SiC

For both bonding process, the thermal properties of the interface were excellent, consis-
tently resulting in values <10m2 K GW-1 . As evidenced by the TEM images (Fig. 8.6), these
interfaces have good crystallinity whilst the thin amorphous layer appears to be thin enough
to avoid introducing a large TBReff . The slightly higher value seen for the hydrophilic BP2 of
9+3/-2 m2 K GW-1 compared to hydrophobic BP1 (6+4/-2 m2 K GW-1 ) is thought to originate from
the slightly thicker interfacial layer rather than a fundamental result of the chemistry used in
the bonding process. Literature suggests that the thermal conductivity of amorphous, silicon
dioxide is approximately 1 W m-1 K-1 [259]. Using this, one would expect a minimum TBReff
of 2.5 m2 K GW-1 for the thickest layer seen in this work (2.5 nm). In reality, this value will be
higher as this simple calcualtion neglects contributions from the fundamental thermal boundary
resistance at both amorphous-crystal interfaces and any defects near the interface. As a result, it
appears that these bonding processes are approaching the limit of TBReff achievable using this
bonding strategy.
The results of the FEA simulations are shown in Fig. 8.8. In the worst-case scenario of the
samples examined with TTR (TBReff = 10 m2 K GW-1 , κ⊥ = 259 W m-1 K-1 ) a peak temperature

175
CHAPTER 8. THERMAL CHARACTERISATION OF WAFER BONDED SI-ON-SIC

F IGURE 8.7. Presented are fitted examples of thermoreflectance traces for (a) BP1 and
(b) BP2, taken from the Si device layer. The grey band indicates the experimental
data points ±one standard deviation; (c) and (d) show the fitted histograms for the
measured cross-plane thermal conductivity of the SiC from the Si-face for BP1
and BP2 respectively whilst (e) and (f) show the same for TBReff . Reproduced with
permission from Field et al. [255].

176
8.2. RESULTS AND DISCUSSION

of 140°C at a power dissipation of 5 W mm-1 . Compared to the SOI structure shown in Fig. 8.1(a),
this is 67% decrease in peak temperature rise at the same power dissipation, Fig. 8.8(a). Keeping
all other parameters the same but improving the SiC quality (cross-plane thermal conductivity
of 390 W m-1 K-1 as reported by Cree/Wolfspeed [8]) this is improved to a 79% decrease. The
origin of this benefit is evident in Fig. 8.8(c). For the SOI device, the temperature drop across
the Si substrate and BOX are similar whereas, for the Si-on-SiC, very little temperature is
dropped at the Si/SiC interface. This indicates that removing the highly, thermally resistive
BOX plays a key role in the advantage of Si-on-SiC over SOI. In addition to this benefit, a much
smaller temperature gradient is seen across the SiC substrate compared to the Si indicating
that the addition of the SiC heatsink is also adding to this improvement. The enhanced thermal
management of the Si-on-SiC would result in reduced active cooling being required for devices
and improve device lifetime.
Finally, the importance of TBReff is examined in Fig. 8.8(b). This graph shows that when using
SiC with high thermal conductivity, reducing TBReff from 100 m2 K GW-1 to < 10 m2 K GW-1 can
reduce peak temperature rise by 11% at 5 W mm-1 . The importance of this is reinforced by the
temperature gradients presented in Fig. 8.8(c). Such a high TBReff is a very real probability when
introducing thick, polycrystalline or amorphous layers between the Si and SiC (Table 8.1). These
simulations show the importance of rigorous thermal characterisation when preparing Si-on-SiC.
If variations in SiC thermal conductivity were not known and TBReff were not considered, it
could have catastrophic consequences for a device when deployed in space.
In summary, this chapter has detailed work investigating the thermal properties of two,
direct bonded Si-on-SiC wafers. Regardless of using a hydrophobic or hydrophilic bonding process,
interfaces with excellent thermal properties were fabricated. Finite element simulations have
shown the benefit of replacing conventional SOI with the Si-on-SiC fabricated (from a thermal
perspective) showing a decrease in peak temperature rise of 69%. Simulation of a hypothetical
material using high thermal conductivity 4H-SiC shows that there is further room for improve-
ment, and this decrease could be increased to 79%. Finally, the simulations carried out here
show the importance of measuring and optimising the thermal properties of the Si/SiC interface
when fabricating devices on this material. This parameter has the potential to cause a significant
variation in peak temperature which could be problematic if not considered for real-world devices.

177
CHAPTER 8. THERMAL CHARACTERISATION OF WAFER BONDED SI-ON-SIC

F IGURE 8.8. (a) shows the simulated peak temperature of a simple power MOSFET
as a function of power dissipation for a conventional SOI structure, the Si-on-
SiC structure measured here, and a hypothetical structure using Cree/Wolfspeed
SiC with the same TBReff measured; (b) shows the role of TBReff in determining
peak temperatures at power dissipation ranging from 1-5 W mm-1 in increments
of 1 W mm-1 for the two Si-on-SiC structures discussed in (a); (c) examines the
temperature gradient across the different layers in four different structures: a
conventional SOI structure, Si-SiC material measured here (worst-case scenario)
and the hypothetical Si-SiC with TBReff = 100 and 5 m2 K GW-1 . Reproduced with
permission from Field et al. [255].

178
HAPTER
9
C
S UMMARY

he reliability and efficiency of all electronic devices depend partially on their thermal

T management . High peak temperatures can deleteriously affect device performance,


increasing the resistance of the device, as well as having a large impact on the device’s
reliability given the exponential relationship between mean time to failure (MTTF) and peak
temperature. These considerations are even more pressing for high power devices, such as
power converters, where the large voltages and currents being handled by the device can result
in increased Joule self-heating. High-power radio-frequency (RF) devices, such as high-power
high-frequency power amplifiers required for the next generation of mobile communications and
internet of things applications, are even more vulnerable to self-heating due to them operating
predominately in their on-state. For these reasons, improved thermal management in power and
RF devices by heterogeneous integration of heat sinks has been an area of much research. The
efficacy of a these heat sinks and spreaders depends not only on the material properties (such
as thermal conductivity) but also on the properties of the interface and any material between
the heatsink/spreader and the hot spot in the device. If there is a significant thermal resistance
here, the properties of the heatsink/spreader become less important as the interface can act as a
thermal bottleneck.

Gallium nitride based high electron mobility transistors (HEMTs) on SiC are already enabling
high-power, high-frequency power amplifiers which are being used for the provision of 5G. These
devices have a number of excellent properties taking advantage of GaN’s wide bandgap and
the ability to form a two-dimensional electron gas (2DEG) at AlGaN/GaN heterostructures.
The 2DEG allows for fabrication of devices with very high electron mobility and saturation
velocity. The combination of these properties makes AlGaN/GaN HEMTs the ideal devices for
such applications. Their ability to manage high voltages whilst maintaining high switching

179
CHAPTER 9. SUMMARY

speeds and low on resistances gives them a significant advantage over narrow bandgap materials
(such as GaAs, Si, and InP) as well as competing wide bandgap semiconductors (SiC). However,
GaN-on-SiC HEMTs are still limited in their output power density by inadequate thermal
management rather than reaching the material limit of these devices. For this reason, research
into the integration of GaN with diamond is progressing quickly. Diamond has the highest bulk
thermal conductivity making it an extremely advantageous material for thermal management.
However, integration is non-trivial owing to diamond’s large lattice and coefficient of thermal
expansions (CTE) mismatch with GaN. The most successful integration technique utilises existing
GaN-on-Si growth technology to produce good quality GaN/AlGaN HEMTs before replacing the Si
substrate with a grown polycrystalline diamond heatsink, utilising a carbide forming interlayer
to aid adhesion and protect the GaN. This approach has already been commercialised by Akash
systems and RFHIC. There is also academic interest in the use of thin, polycrystalline diamond
heatspreaders grown over the top of the HEMT. These could either act as lateral heatspreaders
for the HEMT or could be a route for top-side heat extraction using a flip-chip design. In any
case, the limitations suffered by both approaches are centred on the properties of the interface.
The high CTE mismatch between GaN and diamond results in significant local stresses at
the interface which can cause failure during diamond growth but could also present issues for
the device reliability during operation. Also, the interlayer is used to help adhesion between
the diamond and GaN is typically amorphous with low thermal conductivity. If its thermal
resistance is too high, it can introduce a significant thermal bottleneck, limiting the benefit of
the diamond. Coupled with this, near-nucleation polycrystalline diamond has a high density of
grain boundaries, reducing its thermal conductivity significantly from the bulk and introducing
anisotropy in its thermal conductivity.

To address the issue of mechanical stability, in this thesis an improved method for analysing
the interfacial toughness of thin, brittle, well-bonded films on stiff substrates has been developed,
using three GaN-on-diamond samples provided by Element Six as a demonstrator. This analysis
builds on the well-established technique of nanoindentation induced blistering but improves
the metrology to address key issues such as underestimation of maximum buckle height and
poor reproducibility. The new method centres around fitting the 3-D blister topology with the
analytical solution for a clamped von Karman plate, consistent with the analytical analysis used,
to extract blister dimensions rather than the use of arbitrarily drawn, 2-D line scans. Comparison
of the two methods showed increased reliability for the 3-D method over the line scans with
statistical significantly different values of interfacial toughness. Based on these analyses, the
previous method appears to systematically underestimate the interfacial toughness and over
estimate the error in the calculated values. It was particularly prone to inaccurate measurements
of small blisters which are common in thin, well-bonded, brittle films. These analyses also gave
insight into whether the thickness of the SiNx was important in determining the interfacial
toughness. It appears that the thickness plays little role and that thin interlayers (< 20 nm thick)

180
can result in mechanically robust material. This is poignant as such samples tend to have much
improved thermal properties.

Building on the thermal properties of the GaN-diamond interface, the properties of GaN-on-
diamond using crystalline interlayers rather than amorphous SiNx interlayers were investigated.
This work aimed to produce GaN-on-diamond with a lower TBReff resulting from the higher
thermal conductivity of crystalline material over amorphous. Two samples were prepared with
diamond grown on a ∼20 nm thick Al0.32 Ga0.68 N interlayer, integrated within the AlGaN/GaN
HEMT epitaxy. For one, the Si growth substrate was entirely removed, whereas for the other,
selective areas were removed to produce GaN-on-diamond membranes. For the first sample, the
TBReff was high at around 100 m2 K GW-1 . This was thought to arise from the more GaN-like
nature of the interlayer given its low Al%. It is known that diamond does not readily adhere
to GaN and that GaN is etched by the diamond growth plasma. For the second sample, a
more respectable TBReff of 30±5m2 K GW-1 was measured. Microstructural analysis revealed the
presence of a thin, crystalline, hexagonal SiC layer grown epitaxially on the Al0.32 Ga0.68 N between
it and the diamond. This was thought to arise from the presence of the Si substrate surrounding
the membrane. This layer helped aid adhesion between the diamond and Al0.32 Ga0.68 N, given its
propensity to form carbide bonds, as well as protect the Al0.32 Ga0.68 N from the harsh diamond
growth conditions. Analytical simulations were undertaken to investigate the theoretical thermal
resistance of AlN, SiC, and Alx Ga(1-x) N interlayers. The results suggested that both AlN and SiC
would be interlayers with excellent thermal properties. For Alx Ga(1-x) N interlayers, the properties
depended on the composition. Layers with Al% > 60% appear to be good alternatives to SiC and
AlN due to reduced alloy scattering and more AlN-like nature when compared to layers with less
Al. Increased Al content would also likely aid the adhesion of the diamond and protect the GaN
from the diamond growth conditions.

In a similar vein, the thermal properties of thin diamond heat spreaders grown on top of
N-polar GaN-on-sapphire and GaN-on-SiC HEMT structures were investigated. This material
utilised an amorphous SiNx interlayer combined with a novel, multi-step diamond growth
procedure. This procedure aimed to minimise the thickness of the near-nucleation diamond
region, producing more isotropic films, whilst also etching the SiNx layer to minimise its thickness.
Diamond films were grown at thicknesses ranging from 200 nm to 2 μm although films thicker
than ∼700 nm could not be grown on GaN-on-sapphire. These delaminated as a result of the
large CTE mismatch between diamond and the sapphire substrate. In all cases, the TBReff
values measured were low (< 25m2 K GW-1 ) arising from the very thin SiNx interlayers achieved
by etching during the diamond growth. However, for GaN-on-sapphire samples, the diamond
thermal conductivity was very low, likely arising from the poor quality, highly strained diamond
films grown on this material. For GaN-on-SiC, the thermal conductivity of the diamond was high
for a 2 μthick film (638±48W m-1 K-1 ) indicating improved crystal quality, likely a result of the
improved CTE match between SiC and diamond as well as the multi-step diamond growth process

181
CHAPTER 9. SUMMARY

used to shrink the near-nucleation diamond thickness. Finite element simulations suggested
that the 2 μm thick diamond film could lower the peak temperature rise by 10% when operating
the device at an output power density of 5 W mm-1 . For GaN-on-sapphire samples, the diamond
film made little difference to the thermal management which was limited by the temperature
gradient across the sapphire substrate.
Finally, the thermal properties of wafer bonded Si-on-SiC were investigated using TTR. This
material has long been touted as an alternative to SOI for power conversion and RF devices
in extreme environments, owing to SiC’s high thermal conductivity. The use of semi-insulating
SiC substrates removes the necessity of the low thermal conductivity BOX and integrates a SiC
heatsink close to the device channel. However, thermal characterisation of the material produced
previously has frequently been limited. In this work, two bonding processes were investigated:
one using a hydrophobic bond and the other hydrophilic. In both cases, the interface was seen to
have a thin (< 2.5 nm) amorphous silicon dioxide layer between the Si and SiC which resulted in a
low TBReff of < 10m2 K GW-1 . Finite element simulations using the extracted thermal parameters
in a hypothetical power converter device found much improved thermal management over the
same device simulated on a SOI structure with a 2 μm thick BOX. The peak temperature rise
was lowered by 69% at an output power dissipation of 5 W mm-1 . The origin of this benefit was
examined and found to be split roughly equally between removing the BOX and replacing the Si
substrate with a SiC substrate. Further investigation of the sensitivity to different parameters
showed that the TBReff must be considered for accurate device temperatures and predictions of
MTTF.

9.1 Future Work

The method developed here for improved investigation of interfacial toughness offers an excellent
route for understanding which growth parameters influence the strength of the interface. Carry-
ing out a systematic study on a variety of GaN-on-diamond samples would allow for very strong
material to be produced reproducibly and reliably. For such a study to be a success, samples must
come from a range of GaN-on-Si starting wafers with a variety of SiNx and diamond growth
conditions. Ideally, the residual stress in the GaN should be analysed at each step of the process
to understand how it evolves. This would not only be useful for understanding how residual
stress affects the interfacial toughness but would also be of great benefit in trying to manage to
the bow and residual stress in the final GaN-on-diamond wafer. To the author’s knowledge, no
such study has been undertaken and statements on the evolution of stress in GaN-on-diamond
without this knowledge are fundamentally flawed. Comparisons to interfacial toughness of alter-
native GaN-on-diamond material could also be made, for instance to samples using crystalline
interlayers such as AlN or SiC. This would provide further insight into the nature of bonding at
these interfaces and could be used to investigate the correlation between interfacial toughness

182
9.1. FUTURE WORK

and TBReff . This has been previously attempted for samples using SiNx interlayer but TBReff
was found to be dependent on the thickness of the interlayer rather than the bonding strength.
Crystalline interlayers for GaN-on-diamond are an intriguing prospect and development
should continue in using these as an alternative to amorphous materials. Use of such materials
should, theoretically, provide a simpler route to improving TBReff rather than using complicated
diamond growth processes to shrink the thickness of amorphous layers. In addition, the use of
Alx Ga(1-x) N layer integrated with the HEMT epitaxy simplifies the processing of GaN-on-diamond.
These layers can be used as etch stops when removing the strain relief layers and GaN buffer due
to the change in chemistry from GaN to Alx Ga(1-x) N. This means timed etches could be replaced
with chemically selective ones. It also removes a step from the processing of depositing SiNx . On
the basis of the work in this thesis, only Alx Ga(1-x) N with Al content > 60% should be considered.
The use of crystalline SiC layers is possibly the most intriguing result of this thesis. Further
research is required to be able to reproducibly generate these crystalline layers and it may not be
possible. However, if it can be managed by introducing Si containing species into the diamond
growth reactor, it offers a route for in situ deposition of crystalline SiC prior to diamond growth,
opening possibilities of diamond growth on non-carbide forming materials such as GaN. Away
from diamond growth, it could also be possible to grow SiC at relatively low growth temperatures
of 600-1000°C compared to conventional SiC growth temperatures of > 2000°C.
The future work for chapter 7 should be focused on applying the new diamond growth
conditions to backside diamond heatsinks as well as topside heatspreaders. In their current
format, topside heatspreaders offer only limited thermal benefit and introduce a number of
complications. The strain induced near the channel could have electrical issues whilst fabrication
of contacts is complicated. Either pattered or selective area growth of diamond is required or
etching through the diamond to reveal the GaN and form contacts this way. In either case,
electrical properties could be affected by exposing the GaN surface to the diamond growth
conditions. The problems introduced by these kinds of heatspreaders are unlikely to be worth
the added effort of developing new metallisation schemes given the relatively modest increase
in thermal management offered by such layers. However, if thicker layers of diamond could be
grown and attached to a second heatsink then the benefit of thermal management would be likely
to be very large and the issue of contacts becomes a worthwhile one to solve.
Wafer bonded Si-on-SiC technology is close to being application ready. The next stages
should focus on accurate thermal characterisation of devices, combining similar measurements
undertaken here with device thermography and modelling. This is needed to accurately model
temperature distributions within devices during operation. Understanding this is vital for
ensuring devices have their cooling requirements met in deep-space missions and accurately
predicting MTTF. The measurement of Si-on-SiC material could also be a template for the
integration of GaN-on-diamond. The hydrophilic processes were undertaken at the relatively
low temperature of 300°C and resulted in interfaces with excellent crystal quality and very little

183
CHAPTER 9. SUMMARY

interfacial material. Using similar techniques for GaN-on-diamond would address a number of key
issues namely stress and bow resulting from CTE mismatch, addressed by lower temperatures,
and the TBReff , addressed by the removal of interfacial material. It also removes the issue of the
near-nucleation diamond as either single crystal diamond or polycrystalline diamond with the
nucleation region polished off can be used. Recent results have already shown that bonding is
possible between GaN and diamond with excellent thermal properties. If these processes can be
scaled up with a high yield, they could be the best option for GaN and diamond integration of all.
Finally, the variation in the sub-surface SiC thermal conductivity for different faces is an
interesting finding. This has not been previously reported and an initial study has suggested this
is a result of different polishing techniques used on the different faces. However, more in depth
study is required to understand the exact link between processing and thermal conductivity as
well as to understand how these regions could affect device thermal management. Such a study
should analyse a number of SiC wafers, which have undergone various polishing procedures,
both thermally and with transmission electron microscopy. This would enable the elucidation of
the kind of damage introduced by polishing and how this affects the phonon mean free path and
thermal conductivity. It would also suggest routes to minimise the impact of polishing.

184
APPENDIX
A
A PPENDIX A

A.1 List of Publications

• D. E. Field, J. W. Pomeroy, F. Gity, M. Schmidt, P. Torchia, F. Li, P. M. Gammon, V. A.


Shah, and M. Kuball, Thermal Characterziation of Direct Wafer Bonded Si-on-SiC, Applied
Physics Letters, 2022, 120, 113503.

• D. E. Field, F. Wach, J. W. Pomeroy, and M. Kuball, Differences in SiC Wafer Thermal


Conductivity from Face-to-Face Dependent on Polishing, Proceedings of the International
Conference on Compound Semiconductor Manufacturing Technology, 2022.

• D. E. Field, R. Beale, N. Getzler, J. W. Pomeroy, A. J. Leide, D. Francis, D. Twitchen, F. Faili,


D. Liu, and M. Kuball, Evaluating the interfacial toughness of GaN-on-diamond with an
improved analysis using nanoindentation, Scripta Materialia, 2022, 209, 114370.

• M. Malakoutian, D. E. Field, N. J. Hines, S. Pasayat, S. Graham, M. Kuball, and S. Chowd-


hury, Record-Low Thermal Boundary Resistance between Diamond and GaN-on-SiC for
Enabling Radiofrequency Device Cooling, American Chemical Society Applied Materials &
Interfaces, 2021, 13, 50, 60553-60560.

• J. A. Cuenca, M. D. Smith, D. E. Field, F. C-P. Massabuau, S. Mandal, J. W. Pomeroy, D. J.


Wallis, R. A. Oliver, I. Thayne, M. Kuball, and O. A. Williams, Thermal stress modelling of
diamond on GaN/III-Nitride membranes, Carbon, 2021, 174, 647-661.

• E. J. W. Smith, A. H. Piracha, D. Field, J. W. Pomeroy, G. R. Mackenzie, Z. Abdallah, F. C-P.


Massabuau, A. M. Hinz, D. J. Wallis, R. A. Oliver, M. Kuball, and P. W. May, Mixed-size

185
APPENDIX A. APPENDIX A

diamond seeding for low-thermal-barrier growth of CVD diamond onto GaN and AlN,
Carbon, 2020, 167, 620-626.

• D. E. Field, J. A. Cuenca, M. D. Smith, S. M. Fairclough, F. C-P. Massabuau, J. W. Pomeroy,


O. Williams, R. A. Oliver, I. Thayne,and M. Kuball, Crystalline interlayers for reducing
the effective thermal boundary resistance in GaN-on-Diamond, American Chemical Society
Applied Materials & Interfaces, 2020, 12, 54138-54145.

• D. E. Field, C. Yuan, R. B. Simon, D. Twitchen, D. Francis, F. Faili, D. Liu, and M. Kuball,


GaN-on-diamond: the correlation between interfacial toughness and thermal resistance,
Proceedings of the International Conference on Compound Semiconductor Manufacturing
Technology, 2020.

• W. M. Waller, J. W. Pomeroy, D. Field, E. J. Smith, P. W. May, and M. Kuball, Thermal


Boundary Resistance of Direct van der Waals Bonded GaN-on-Diamond, Semiconductor
Science and Technology, 2020, 35, 095021.

• M. D. Smith, J. A. Cuenca, D. E. Field, Y. Fu, C. Yuan, F. Massabuau, S. Mandal, J. W.


Pomeroy, R. A. Oliver, M. J. Uren, K. Elgaid, O. A. Williams, I. Thayne, and M. Kuball,
GaN-on-diamond technology platform: Bonding-free membrane manufacturing process,
American Institute of Physics Advances, 2020, 10, 035306.

A.2 List of Presentations

• D. E. Field, F. Wach, J. W. Pomeroy, and M. Kuball, Differences in SiC Wafer Thermal Con-
ductivity from Face-to-Face Dependent on Polishing, International Conference on Compound
Semiconductor Manufacturing Technology, 2022, Oral Presentation.

• D. E. Field, M. Malakoutian, F. Wach, J. W. Pomeroy, S. Chowdhury, and M. Kuball, Over-


grown Diamond Heat Spreaders on N-Polar GaN, UK Nitride Consortium Winter Meeting,
2022, Poster Presentation.

• D. E. Field, J. W. Pomeroy, A. J. Leide, D. Francis, D. Twitchen, F. Faili, D. Liu, and M.


Kuball, Improved Analysis of he Interfacial Toughness of GaN-on-Diamond, De Beers
Diamond Conference, 2021, Poster Presentation.

• D. E. Field, J. W. Pomeroy, D. Francis, D. Twitchen, F. Faili, D. Liu, and M. Kuball, 3D


analysis of blister geometry for interfacial toughness measurement in GaN-on-Diamond,
Expert Evaluation and Control of Compound Semiconductor Materials and Technologies,
2021, Oral Presentation.

• D. E. Field, J. W. Pomeroy, D. Francis, D. Twitchen, F. Faili, D. Liu, and M. Kuball, 3D


analysis of blister geometry for interfacial toughness measurement in GaN-on-Diamond,

186
A.2. LIST OF PRESENTATIONS

Expert Evaluation and Control of Compound Semiconductor Materials and Technologies,


2021, Oral Presentation.

• D. E. Field, J. A. Cuenca, M. D. Smith, S. M. Fairclough, F. C-P. Massabuau, J. W. Pomeroy,


O. Williams, R. A. Oliver, I. Thayne,and M. Kuball, Crystalline AlGaN & SiC interlayers
for Integration of Diamond with GaN, UK Nitride Consortium Winter Meeting, 2021, Oral
Presentation.

• D. E. Field, C. Yuan, R. B. Simon, D. Twitchen, D. Francis, F. Faili, D. Liu, and M. Kuball,


Investigating the interfacial toughness and thermal resistance of GaN-on-diamond, UK
Nitride Consortium Winter Meeting, 2020, Oral Presentation.

• D. E. Field, J. W. Pomeroy, R. Ramaneti, P. Pobedinskas, K. Haenen, and M. Kuball, Effect


of growth parameters on the thermal conductivity of thin diamond films, De Beers Diamond
Conference, 2019, Oral Presentation.

187
B IBLIOGRAPHY

[1] G. Busch, “Early history of the physics and chemistry of semiconductors-from doubts to
fact in a hundred years,” European Journal of Physics, vol. 10, no. 4, pp. 254–264, 1989.

[2] Lidia and A. Jakubowski, “History of Semiconductors,” Journal of Telecommunications


and Information Technology, no. 1, pp. 3–9, 2010.

[3] J. Bardeen and W. H. Brattain, “The transistor, a semi-conductor triode,” Proceedings of


the IEEE, vol. 86, pp. 29–30, 1998.

[4] D. Kahng, “A historical perspective on the development of MOS transistors and related
devices,” IEEE Transactions on Electron Devices, vol. 23, no. 7, pp. 655–657, 1976.

[5] G. K. Celler and S. Cristoloveanu, “Frontiers of silicon-on-insulator,” Journal of Applied


Physics, vol. 93, no. 9, pp. 4955–4978, 2003.

[6] T. Mimura, S. Hiyamizu, T. Fuji, and J. Nanbu, “A New Field-Effect Transistor with
Selectively Doped GaAs / n-Al x Ga1- x As Heterojunctions,” Japanese journal of
applied physics, vol. 19, no. 5, p. L225, 1980.

[7] J. L. Hudgins, G. S. Simin, E. Santi, and M. A. Khan, “An assessment of wide bandgap
semiconductors for power devices,” IEEE Transactions on Power Electronics, vol. 18,
no. 3, pp. 907–914, 2003.

[8] Wolfspeed Inc., “Wolfspeed SiC Catalogue.” https://assets.wolfspeed.com/uploads/


2020/12/materials_catalog.pdf.
Accessed: 2020-02-17.

[9] J. Zou, D. Kotchetkov, A. A. Balandin, D. I. Florescu, and F. H. Pollak, “Thermal conductivity


of GaN films: Effects of impurities and dislocations,” Journal of Applied Physics, vol. 92,
no. 5, pp. 2534–2539, 2002.

[10] U. K. Mishra, L. Shen, T. E. Kazior, and Y.-F. Wu, “GaN-Based RF Power Devices and
Amplifiers,” Proceedings of the IEEE, vol. 96, no. 2, pp. 287–305, 2008.

189
BIBLIOGRAPHY

[11] P. M. Gammon, C. W. Chan, F. Li, F. Gity, T. Trajkovic, V. Pathirana, D. Flandre, and


V. Kilchytska, “Development, characterisation and simulation of wafer bonded Si-on-
SiC substrates,” Materials Science in Semiconductor Processing, vol. 78, no. July 2017,
pp. 69–74, 2018.

[12] S. Lotfi, L. G. Li, Vallin, L. Vestling, H. Norström, and J. Olsson, “LDMOS-transistors on


semi-insulating silicon-on-polycrystalline-silicon carbide substrates for improved RF
and thermal properties,” Solid-State Electronics, vol. 70, pp. 14–19, 2012.

[13] H. Shinohara, H. Kinoshita, and M. Yoshimoto, “Si metal-oxide-semiconductor field-effect


transistor on Si-on-SiC directly bonded wafers with high thermal conductance,” Applied
Physics Letters, vol. 93, no. 12, pp. 1–4, 2008.

[14] D. Francis, F. Faili, D. Babić, F. Ejeckam, A. Nurmikko, and H. Maris, “Formation and char-
acterization of 4-inch GaN-on-diamond substrates,” Diamond and Related Materials,
vol. 19, no. 2-3, pp. 229–233, 2010.

[15] S. T. Sheppard, K. Doverspike, W. L. Pribble, S. T. Allen, J. W. Palmour, L. T. Kehias, and


T. J. Jenkins, “High-power microwave GaN/AlGaN HEMT’s on semi-insulating silicon
carbide substrates,” IEEE Electron Device Letters, vol. 20, no. 4, pp. 161–163, 1999.

[16] T. Gerrer, V. Cimalla, P. Waltereit, S. Müller, F. Benkhelifa, T. Maier, H. Czap, O. Ambacher,


and R. Quay, “Transfer of AlGaN/GaN RF-devices onto diamond substrates via van der
Waals bonding,” Proceedings of the International Astronomical Union, vol. 10, no. 5-6,
pp. 666–673, 2018.

[17] B. Lambert, J. Thorpe, R. Behtash, B. Schauwecker, F. Bourgeois, H. Jung, J. Bataille,


P. Mezenge, C. Gourdon, C. Ollivier, D. Floriot, and H. Blanck, “Reliability data’s of 0.5
µm AlGaN/GaN on SiC technology qualification,” Microelectronics Reliability, vol. 52,
pp. 2200–2204, 9 2012.

[18] T. Kimoto and J. A. Cooper, “Bulk Growth of Silicon Carbide,” in Fundamentals of Silicon
Carbide Technology (T. Kimoto and J. A. Cooper, eds.), ch. 3, pp. 39–74, Singapore: John
Wiley & Sons, 1st ed., 2014.

[19] Y. Koga and K. Kurita, “Fabrication of silicon on insulator wafer with silicon carbide
insulator layer by surface-activated bonding at room temperature,” Japanese Journal
of Applied Physics, vol. 59, no. 5, 2020.

[20] S. Lotfi, L. G. Li, Vallin, H. Norström, and J. Olsson, “Fabrication and characterization
of 150-mm silicon-on-polycrystalline silicon carbide substrates,” Journal of Electronic
Materials, vol. 41, no. 3, pp. 480–487, 2012.

190
BIBLIOGRAPHY

[21] S. Lotfi, L. Vestling, and J. Olsson, “RF losses, crosstalk and temperature dependence for
SOI and Si/SiC hybrid substrates,” Solid-State Electronics, vol. 97, pp. 59–65, 2014.

[22] C. Chan, P. A. Mawby, and P. M. Gammon, “Analysis of Linear-Doped Si/SiC Power LDMOS-
FETs Based on Device Simulation,” IEEE Transactions on Electron Devices, vol. 63,
no. 6, pp. 2442–2448, 2016.

[23] V. Kurlov, “Sapphire: Properties, Growth, and Applications,” in Encyclopedia of Materi-


als: Science and Technology (K. Buschow, R. W. Cahn, M. C. Flemings, E. J. Kramer,
B. Ilschner, S. Mahajan, and P. Veyssiere, eds.), pp. 8259–8264, Pergamon, 2nd ed., 2001.

[24] C. J. Glassbrenner and G. A. Slack, “Thermal Conductivity of Silicon and Germanium from
3K to the Melting Point,” Physical Review, vol. 134, no. 4A, 1964.

[25] A. Bar-Cohen, J. J. Maurer, and D. H. Altman, “Embedded Cooling for Wide Bandgap Power
Amplifiers: A Review,” Journal of Electronic Packaging, vol. 141, no. 4, pp. 1–14, 2019.

[26] D. G. Onn, A. Witek, Y. Z. Qiu, T. R. Anthony, and W. F. Banholzer, “Some aspects of the
thermal conductivity of isotopically enriched diamond single crystals,” Physical Review
Letters, vol. 68, no. 18, pp. 2806–2809, 1992.

[27] T. Gerrer, J. Pomeroy, F. Yang, D. Francis, J. Carroll, B. Loran, L. Witkowski, M. Yarbor-


ough, M. J. Uren, and M. Kuball, “Thermal Design Rules of AlGaN/GaN-Based Mi-
crowave Transistors on Diamond,” IEEE Transactions on Electron Devices, vol. 68, no. 4,
pp. 1530–1536, 2021.

[28] P. R. Hageman, J. J. Schermer, and P. K. Larsen, “GaN growth on single-crystal diamond


substrates by metalorganic chemical vapor deposition and hydride vapour deposition,”
Thin Solid Films, vol. 443, no. 1-2, pp. 9–13, 2003.

[29] G. W. van Dreumel, T. Bohnen, J. G. Buijnsters, W. J. van Enckevort, J. J. ter Meulen, P. R.


Hageman, and E. Vlieg, “Comparison of GaN and AlN nucleation layers for the oriented
growth of GaN on diamond substrates,” Diamond and Related Materials, vol. 19, no. 5-6,
pp. 437–440, 2010.

[30] G. W. Van Dreumel, P. T. Tinnemans, A. A. Van Den Heuvel, T. Bohnen, J. G. Buijnsters,


J. J. Ter Meulen, W. J. Van Enckevort, P. R. Hageman, and E. Vlieg, “Realising epitaxial
growth of GaN on (001) diamond,” Journal of Applied Physics, vol. 110, no. 1, 2011.

[31] K. Hirama, M. Kasu, and Y. Taniyasu, “Growth and device properties of algan/gan high-
electron mobility transistors on a diamond substrate,” Japanese Journal of Applied
Physics, vol. 51, no. 1S, p. 01AG09, 2012.

191
BIBLIOGRAPHY

[32] K. Hirama, M. Kasu, and Y. Taniyasu, “RF high-power operation of AlGaN/GaN HEMTs
epitaxially grown on diamond,” IEEE Electron Device Letters, vol. 33, no. 4, pp. 513–515,
2012.

[33] D. J. Twitchen, C. S. J. Pickles, S. E. Coe, R. E. Sussmann, and C. E. Hall, “Thermal


conductivity measurements on CVD diamond,” Diamond and Related Materials, vol. 10,
pp. 731–735, 3 2001.

[34] J. Anaya, S. Rossi, M. Alomari, E. Kohn, L. Tóth, B. Pécz, K. D. Hobart, T. J. Anderson,


T. I. Feygelson, B. B. Pate, and M. Kuball, “Control of the in-plane thermal conductivity
of ultra-thin nanocrystalline diamond films through the grain and grain boundary
properties,” Acta Materialia, vol. 103, pp. 141–152, 2016.

[35] Y. Zhou, R. Ramaneti, J. Anaya, S. Korneychuk, J. Derluyn, H. Sun, J. Pomeroy, J. Verbeeck,


K. Haenen, and M. Kuball, “Thermal characterization of polycrystalline diamond thin
film heat spreaders grown on GaN HEMTs,” Applied Physics Letters, vol. 111, no. 4,
2017.

[36] M. Malakoutian, D. E. Field, N. J. Hines, S. Pasayat, S. Graham, M. Kuball, and S. Chowd-


hury, “Record-Low Thermal Boundary Resistance between Diamond and GaN-on-SiC
for Enabling Radiofrequency Device Cooling,” ACS Applied Materials & Interfaces,
vol. 13, pp. 60553–60560, 12 2021.

[37] T. J. Anderson, A. D. Koehler, K. D. Hobart, M. J. Tadjer, T. I. Feygelson, J. K. Hite, B. B.


Pate, F. J. Kub, C. R. Eddy, S. Member, and A. Boron-doped, “Nanocrystalline Diamond-
Gated AlGaN/GaN HEMT,” IEEE Electron Device Letters, vol. 34, no. 11, pp. 1382–1384,
2013.

[38] T. Gerrer, V. Cimalla, P. Waltereit, S. Müller, F. Benkhelifa, T. Maier, H. Czap, O. Ambacher,


and R. Quay, “Transfer of AlGaN/GaN RF-devices onto diamond substrates via van der
Waals bonding,” International Journal of Microwave and Wireless Technologies, vol. 10,
no. 5-6, pp. 666–673, 2017.

[39] Z. Cheng, F. Mu, L. Yates, T. Suga, and S. Graham, “Interfacial Thermal Conductance across
Room-Temperature-Bonded GaN/Diamond Interfaces for GaN-on-Diamond Devices,”
ACS Applied Materials and Interfaces, vol. 12, no. 7, pp. 8376–8384, 2020.

[40] J. W. Pomeroy, R. B. Simon, H. Sun, D. Francis, F. Faili, D. J. Twitchen, and M. Kuball,


“Contactless Thermal Boundary Resistance Measurement of GaN-on-Diamond Wafers,”
IEEE Electron Device Letters, vol. 35, no. 10, pp. 1007–1009, 2014.

[41] S. Uma, A. D. McConnell, M. Asheghi, K. Kurabayashi, and K. E. Goodson, “Temperature-


dependent thermal conductivity of undoped polycrystalline silicon layers,” International
Journal of Thermophysics, vol. 22, no. 2, pp. 605–616, 2001.

192
BIBLIOGRAPHY

[42] V. B. Kumar, M. Monte, O. Mathon, S. Pascarelli, Z. Porat, and A. Gedanken, “The inter-
action between molten gallium and the hydrocarbon medium induced by ultrasonic
energy—can gallium carbide be formed?,” Journal of the American Ceramic Society,
vol. 100, no. 7, pp. 3305–3315, 2017.

[43] M. Malakoutian, M. A. Laurent, and S. Chowdhury, “A study on the growth window of


polycrystalline diamond on Si3N4-coated N-polar GaN,” Crystals, vol. 9, no. 10, pp. 1–14,
2019.

[44] P. W. May, H. Y. Tsai, W. N. Wang, and J. A. Smith, “Deposition of CVD diamond onto GaN,”
Diamond and Related Materials, vol. 15, no. 4-8, pp. 526–530, 2006.

[45] D. Liu, S. Fabes, B.-S. Li, D. Francis, R. O. Ritchie, and M. Kuball, “Characterization of
the Interfacial Toughness in a Novel “GaN-on-Diamond” Material for High-Power RF
Devices,” ACS Applied Electronic Materials, vol. 1, pp. 354–369, 2019.

[46] C. Kittel, Introduction to Solid State Physics.


Wiley & Sons, 7th ed., 1996.

[47] M. T. Dove, Introduction to Lattice Dynamics.


Cambridge: Cambridge University Press, 1993.

[48] O. M. Küttel, O. Gröning, E. Schaller, L. Diederich, P. Groning, and L. Schlapbach, “Electron


field emission from a cesiated NEA diamond ( 100 ) surface : an activation concept,”
Diamond and Related Materials, vol. 5, pp. 807–811, 1996.

[49] D. T. Morelli, J. P. Heremans, and G. A. Slack, “Estimation of the isotope effect on the
lattice thermal conductivity of group IV and group III-V semiconductors,” Physical
Review B - Condensed Matter and Materials Physics, vol. 66, no. 195304, pp. –1953049,
2002.

[50] Q. Li, J. Wei, H. Sun, K. Zhang, Z. Huang, and L. Zhang, “Temperature dependent thermal
conductivity and transition mechanism in amorphous and crystalline Sb 2 Te 3 thin
films,” Scientific Reports, vol. 7, p. 13747, 2017.

[51] S. Abdel-Samad, M. Abdel-Bary, and K. Kilian, “New developments in cryo-targets for the
external COSY experiments,” Nuclear Instruments and Methods in Physics Research
Section A, vol. 495, pp. 1–7, 12 2002.

[52] W. X. Zhou, Y. Cheng, K. Q. Chen, G. Xie, T. Wang, and G. Zhang, “Thermal Conductivity of
Amorphous Materials,” Advanced Functional Materials, vol. 30, no. 8, pp. 1–17, 2020.

[53] G. Pompe and E. Hegenbarth, “Thermal Conductivity of Amorphous Si at Low Tempera-


tures,” Physica Status Solidi (b), vol. 147, pp. 103–108, 1988.

193
BIBLIOGRAPHY

[54] D. G. Cahill, H. E. Fischer, and T. Klitsner, “Thermal conductivity of thin films: Measure-
ments and understanding,” Journal of Vacuum Science & Technology A, vol. 7, p. 1259,
1989.

[55] J. L. Feldman, M. D. Kluge, P. B. Allen, and F. Wooten, “Thermal conductivity and localiza-
tion in glasses: Numerical study of a model of amorphous silicon,” Physical Review B,
vol. 48, no. 17, pp. 12589–12602, 1993.

[56] E. T. Swartz and R. O. Pohl, “Thermal boundary resistance,” Reviews of Modern Physics,
vol. 61, no. 3, pp. 605–668, 1989.

[57] J. Shi, C. Yuan, H. L. Huang, J. Johnson, C. Chae, S. Wang, R. Hanus, S. Kim, Z. Cheng,
J. Hwang, and S. Graham, “Thermal Transport across Metal/β-Ga2O3Interfaces,” ACS
Applied Materials and Interfaces, vol. 13, pp. 29083–29091, 6 2021.

[58] Y. R. Koh, J. Shi, B. Wang, R. Hu, H. Ahmad, S. Kerdsongpanya, E. Milosevic, W. A. Doolittle,


D. Gall, Z. Tian, S. Graham, and P. E. Hopkins, “Thermal boundary conductance across
epitaxial metal/sapphire interfaces,” Physical Review B, vol. 102, 11 2020.

[59] Q. Song and G. Chen, “Evaluation of the diffuse mismatch model for phonon scattering at
disordered interfaces,” Physical Review B, vol. 104, 8 2021.

[60] Z. Cheng, R. Li, X. Yan, G. Jernigan, J. Shi, M. E. Liao, N. J. Hines, C. A. Gadre, J. C. Idrobo,
E. Lee, K. D. Hobart, M. S. Goorsky, X. Pan, T. Luo, and S. Graham, “Experimental
observation of localized interfacial phonon modes,” Nature Communications, vol. 12, 12
2021.

[61] K. Yang, Z. Zhang, H. Zhao, B. Yang, B. Zhong, N. Chen, J. Song, C. Chen, D. Tang,
J. Zhu, Y. Liu, and T. Fan, “Orientation independent heat transport characteristics of
diamond/copper interface with ion beam bombardment,” Acta Materialia, vol. 220, 11
2021.

[62] H. Hiroshi, “Properties of GaN and related compounds studied by means of Raman scatter-
ing,” Journal of Physics: Condensed Matter, vol. 14, no. 38, p. R967, 2002.

[63] R. R. Reeber and K. Wang, “Lattice parameters and thermal expansion of GaN,” Journal of
Materials Research, vol. 15, pp. 40–44, 2000.

[64] R. F. Davis, “Organometallic Vapor Phase Epitaxial Growth of Group III Nitrides,” Com-
prehensive Semiconductor Science and Technology, vol. 1-6, pp. 339–367, 2011.

[65] F. Bernardini, V. Fiorentini, and D. Vanderbilt, “Spontaneous polarization and piezoelectric


constants of III-V nitrides,” Physical Review B - Condensed Matter and Materials
Physics, vol. 56, no. 16, pp. R10024–R10027, 1997.

194
BIBLIOGRAPHY

[66] O. Ambacher, J. Smart, J. R. Shealy, N. G. Weimann, K. Chu, M. Murphy, W. J. Schaff,


L. F. Eastman, R. Dimitrov, L. Wittmer, M. Stutzmann, W. Rieger, and J. Hilsenbeck,
“Two-dimensional electron gases induced by spontaneous and piezoelectric polarization
charges in N- And Ga-face AIGaN/GaN heterostructures,” Journal of Applied Physics,
vol. 85, no. 6, pp. 3222–3233, 1999.

[67] “NSM Archive of Semiconductor Properties.” http://www.ioffe.ru/SVA/NSM/Semicond/.


Accessed: 2020-02-17.

[68] R. Quay, C. Moglestue, V. Palankovski, and S. Selberherr, “A temperature dependent


model for the saturation velocity in semiconductor materials,” Materials Science in
Semiconductor Processing, vol. 3, pp. 149–155, 3 2000.

[69] R. J. Kaplar, A. A. Allerman, A. M. Armstrong, M. H. Crawford, J. R. Dickerson, A. J.


Fischer, A. G. Baca, and E. A. Douglas, “Review-Ultra-Wide-Bandgap AlGaN Power
Electronic Devices,” ECS Journal of Solid State Science and Technology, vol. 6, no. 2,
pp. Q3061–Q3066, 2017.

[70] F. Roccaforte, P. Fiorenza, G. Greco, R. Lo Nigro, F. Giannazzo, F. Iucolano, and M. Saggio,


“Emerging trends in wide band gap semiconductors (SiC and GaN) technology for power
devices,” Microelectronic Engineering, vol. 187-188, pp. 66–77, 2018.

[71] B. Monemar, P. P. Paskov, and A. Kasic, “Optical properties of InN - The bandgap question,”
Superlattices and Microstructures, vol. 38, no. 1, pp. 38–56, 2005.

[72] J. H. Ryou and W. Lee, “GaN on sapphire substrates for visible light-emitting diodes,” in
Nitride Semiconductor Light-Emitting Diodes (LEDs): Materials, Technologies, and
Applications: Second Edition, pp. 43–78, Elsevier Ltd, 2018.

[73] M. H. Kane and N. Arefin, “Gallium nitride (GaN) on silicon substrates for LEDs,” in
Nitride Semiconductor Light-Emitting Diodes (LEDs): Materials, Technologies, and
Applications: Second Edition, pp. 79–121, 2018.

[74] T. T. Mnatsakanov, M. E. Levinshtein, L. I. Pomortseva, S. N. Yurkov, G. S. Simin, and


M. A. Khan, “Carrier mobility model for GaN,” Solid-State Electronics, vol. 47, no. 1,
pp. 111–115, 2003.

[75] Y. C. Yeo, T. C. Chong, and M. F. Li, “Electronic band structures and effective-mass parame-
ters of wurtzite GaN and InN,” Journal of Applied Physics, vol. 83, no. 3, pp. 1429–1436,
1998.

[76] E. V. Azarova, E. A. Levashov, V. G. Ralchenko, A. P. Bolshakov, and E. E. Ashkinazi,


“Creation of strong adhesive diamond coatings on hard alloy by electric-spark alloying,”
Metallurgist, vol. 54, no. 7-8, pp. 523–529, 2010.

195
BIBLIOGRAPHY

[77] P. Jacobson and S. Stoupin, “Thermal expansion coefficient of diamond in a wide tempera-
ture range,” Diamond and Related Materials, vol. 97, no. June, 2019.

[78] M. J. Edwards, C. R. Bowen, D. W. Allsopp, and A. C. Dent, “Modelling wafer bow in


silicon-polycrystalline CVD diamond substrates for GaN-based devices,” Journal of
Physics D: Applied Physics, vol. 43, no. 38, 2010.

[79] S. Figge, H. Kröncke, D. Hommel, and B. M. Epelbaum, “Temperature dependence of the


thermal expansion of AlN,” Appl. Phys. Lett, vol. 94, p. 101915, 2009.

[80] X. W. Zhou, S. Aubry, R. E. Jones, A. Greenstein, and P. K. Schelling, “Towards more


accurate molecular dynamics calculation of thermal conductivity: Case study of GaN
bulk crystals,” Physical Review B - Condensed Matter and Materials Physics, vol. 79,
no. 11, pp. 1–17, 2009.

[81] V. Y. Davydov, Y. E. Kitaev, I. N. Goncharuk, and A. N. Smirnov, “Phonon dispersion and


Raman scattering in hexagonal GaN and AlN,” Physical Review B, vol. 58, no. 19,
pp. 899–12, 1998.

[82] J. Ibbetson, P. T. Fini, K. D. Ness, S. P. DenBaars, J. S. Speck, and U. K. Mishra, “Polarization


effects, surface states, and the source of electrons in AlGaN/GaN heterostructure field
effect transistors,” Applied Physics Letters, vol. 77, no. 2, pp. 250–252, 2000.

[83] T. Palacios and U. K. Mishra, “GaN-Based Transistors for High-Frequency Applications,”


in Comprehensive Semiconductor Science and Technology, pp. 242–298, Elsevier B. V.,
2011.

[84] M. H. Wong, S. Keller, N. S. Dasgupta, D. J. Denninghoff, S. Kolluri, D. F. Brown, J. Lu,


N. A. Fichtenbaum, E. Ahmadi, U. Singisetti, A. Chini, S. Rajan, S. P. Denbaars, J. S.
Speck, and U. K. Mishra, “N-polar GaN epitaxy and high electron mobility transistors,”
Semiconductor Science and Technology, vol. 28, no. 7, 2013.

[85] Y. Cao, J. W. Pomeroy, M. J. Uren, F. Yang, and M. Kuball, “Electric field mapping of wide-
bandgap semiconductor devices at a submicrometre resolution,” Nature Electronics,
vol. 4, pp. 478–485, 2021.

[86] J. W. Pomeroy, M. J. Uren, B. Lambert, and M. Kuball, “Operating channel temperature


in GaN HEMTs: DC versus RF accelerated life testing,” Microelectronics Reliability,
vol. 55, no. 12, pp. 2505–2510, 2015.

[87] M. Kuball, J. M. Hayes, M. J. Uren, T. Martin, J. C. Birbeck, R. S. Balmer, and B. T. Hughes,


“Measurement of temperature in active high-power AlGaN/GaN HFETs using Raman
spectroscopy,” IEEE Electron Device Letters, vol. 23, no. 1, pp. 7–9, 2002.

196
BIBLIOGRAPHY

[88] D. Siche and R. Zwierz, “Growth of Bulk GaN from Gas Phase,” Crystal Research and
Technology, vol. 53, no. 5, pp. 1–15, 2018.

[89] V. Moroz, H. Y. Wong, M. Choi, N. Braga, R. V. Mickevicius, Y. Zhang, and T. Palacios, “The
Impact of Defects on GaN Device Behavior: Modeling Dislocations, Traps, and Pits,”
ECS Journal of Solid State Science and Technology, vol. 5, no. 4, pp. P3142–P3148,
2016.

[90] H. Cordes, S. D. Baranovskii, K. Kohary, P. Thomas, S. Yamasaki, F. Hensel, and J. H.


Wendorff, “One-dimensional hopping transport in disordered organic solids. I. Analytic
calculations,” Physical Review B - Condensed Matter and Materials Physics, vol. 63,
no. 9, pp. 1–9, 2001.

[91] H. Taira and H. Shima, “Optical conductivity of semiconductor crystals with a screw
dislocation,” Solid State Communications, vol. 177, pp. 61–64, 2014.

[92] A. Denis, G. Goglio, and G. Demazeau, “Gallium nitride bulk crystal growth processes:
A review,” Materials Science and Engineering R: Reports, vol. 50, no. 6, pp. 167–194,
2006.

[93] H. Amano, A. Usui, Y. Mori, M. Yamaguchi, Y. Honda, M. Iwaya, T. Tanigawa, T. Sugiyama,


T. Sano, Y. Kuwahara, T. Makino, and A. Mishima, “Progress and prospect of the
growth of wide-band-gap group III nitrides: Development of the growth method for
single-crystal bulk GaN,” Japanese Journal of Applied Physics, vol. 52, no. 5, 2013.

[94] D. Zhang, Y. Bai, F. Qin, F. Jia, J. Wang, and J. Bian, “Deposition and properties of highly
C-oriented GaN films on diamond substrates,” Applied Physics A: Materials Science
and Processing, vol. 102, no. 2, pp. 353–358, 2011.

[95] Y. M. Zhang, J. F. Wang, D. M. Cai, G. Q. Ren, Y. Xu, M. Y. Wang, X. J. Hu, and K. Xu,
“Growth and doping of bulk GaN by hydride vapor phase epitaxy,” Chinese Physics B,
vol. 29, no. 2, 2020.

[96] S. P. DenBaars and S. Keller, “Metalorganic Chemical Vapor Deposition (MOCVD) of Group
III Nitrides,” in Semiconductors and Semimetals, vol. 50, ch. 2, pp. 11–37, 1997.

[97] J. Song and J. Han, “Nitrogen-Polar (0001̄) GaN Grown on c-Plane Sapphire with a High-
Temperature AlN Buffer,” Materials, vol. 10, no. 252, 2017.

[98] T. Zywietz, J. Neugebauer, and M. Scheffler, “Adatom diffusion at GaN (0001) and (0001̄))
surfaces,” Appl. Phys. Lett, vol. 73, no. 4, p. 487, 1998.

[99] S. Keller, N. A. Fichtenbaum, F. Wu, D. Brown, A. Rosales, S. P. DeBaars, J. S. Speck, and


U. K. Mishra, “Influence of the substrate misorientation on the properties of N-polar

197
BIBLIOGRAPHY

GaN films grown by metal organic chemical vapor deposition,” J. Appl. Phys, vol. 102,
p. 083546, 2007.

[100] A. Jarndal, L. Arivazhagan, and D. Nirmal, “On the performance of GaN-on-Silicon,


Silicon-Carbide, and Diamond substrates,” International Journal of RF and Microwave
computer-aided Engineering, vol. 30, p. e22196, 2020.

[101] A. D. Koehler, T. J. Anderson, J. K. Hite, B. D. Weaver, M. J. Tadjer, M. A. Mastro, J. D.


Greenlee, P. Specht, M. Porter, T. R. Weatherford, K. D. Hobart, and F. J. Kub, Degrada-
tion Mechanisms of AlGaN/GaN HEMTs on Sapphire, Si, and SiC Substrates under
Proton Irradiation.
2014.

[102] S. Keller, H. Li, M. Laurent, Y. Hu, N. Pfaff, J. Lu, D. F. Brown, N. A. Fichtenbaum, J. S.


Speck, S. P. Denbaars, and U. K. Mishra, “Recent progress in metal-organic chemical
vapor deposition of ((0001̄)) N-polar group-III nitrides,” Semiconductor Science and
Technology, vol. 29, no. 11, 2014.

[103] P. Javorka, A. Alam, M. Wolter, A. Fox, M. Marso, M. Heuken, H. Lüth, and P. Kordoš,
“AlGaN/GaN HEMTs on (111) silicon substrates,” Tech. Rep. 1, 2002.

[104] L.-H. Hsu, Y.-Y. Lai, P.-T. Tu, C. Langpoklakpam, Y.-T. Chang, Y.-W. Huang, W.-C. Lee,
A.-J. Tzou, Y.-J. Cheng, C.-H. Lin, H.-C. Kuo, and E. Y. Chang, “Development of GaN
HEMTs Fabricated on Silicon, Silicon-on-Insulator, and Engineered Substrates and the
Heterogeneous Integration,” Micromachines, no. 12, p. 1159, 2021.

[105] A. Filatova-Zalewska, Z. Litwicki, K. Moszak, W. Olszewski, K. Opołczyńska, D. Pucicki,


J. Serafińczuk, D. Hommel, and A. Jeżowski, “Anisotropic thermal conductivity of
AlGaN/GaN superlattices,” Nanotechnology, vol. 32, no. 7, 2021.

[106] H. Amano, Y. Baines, E. Beam, M. Borga, T. Bouchet, P. R. Chalker, M. Charles, K. J.


Chen, N. Chowdhury, R. Chu, C. De Santi, M. M. De Souza, S. Decoutere, L. Di Cioccio,
B. Eckardt, T. Egawa, P. Fay, J. J. Freedsman, L. Guido, O. Häberlen, G. Haynes,
T. Heckel, D. Hemakumara, P. Houston, J. Hu, M. Hua, Q. Huang, A. Huang, S. Jiang,
H. Kawai, D. Kinzer, M. Kuball, A. Kumar, K. B. Lee, X. Li, D. Marcon, M. März,
R. McCarthy, G. Meneghesso, M. Meneghini, E. Morvan, A. Nakajima, E. M. Narayanan,
S. Oliver, T. Palacios, D. Piedra, M. Plissonnier, R. Reddy, M. Sun, I. Thayne, A. Torres,
N. Trivellin, V. Unni, M. J. Uren, M. Van Hove, D. J. Wallis, J. Wang, J. Xie, S. Yagi,
S. Yang, C. Youtsey, R. Yu, E. Zanoni, S. Zeltner, and Y. Zhang, “The 2018 GaN power
electronics roadmap,” Journal of Physics D: Applied Physics, vol. 51, no. 16, p. 163001,
2018.

198
BIBLIOGRAPHY

[107] Z. Ren, J. Xu, X. Le, and C. Lee, “Heterogeneous wafer bonding technology and thin-film
transfer technology-enabling platform for the next generation applications beyond 5g,”
Micromachines, vol. 12, no. 8, 2021.

[108] Q. Zhuang, “Molecular beam epitaxy (MBE) growth of nitride semiconductors,” in Nitride
Semiconductor Light-Emitting Diodes (LEDs): Materials, Technologies, and Applica-
tions: Second Edition, ch. 1, pp. 3–23, Woodhead Publishing Limited, 2018.

[109] P. Frigeri, L. Seravalli, G. Trevisi, and S. Franchi, “Molecular Beam Epitaxy: An Overview,”
Comprehensive Semiconductor Science and Technology, vol. 1-6, no. 1, pp. 480–522,
2011.

[110] J. E. Field, The Properties of Natural and Synthetic Diamond.


Elsevier, 1992.

[111] G. Thiering and A. Gali, “Ab initio calculation of spin-orbit coupling for an NV center in di-
amond exhibiting dynamic Jahn-Teller effect,” Physical Review B, vol. 96, p. 081115(R),
2017.

[112] E. Bitziou, M. B. Joseph, T. L. Read, N. Palmer, T. Mollart, M. E. Newton, and J. V. Macpher-


son, “In Situ Optimization of pH for Parts-Per-Billion Electrochemical Detection of
Dissolved Hydrogen Sulfide Using Boron Doped Diamond Flow Electrodes,” Analytical
Chemistry, vol. 86, pp. 10834–10840, 2014.

[113] V. V. Parashchuk, “On Efficiency of Power Diode Lasers Using Diamond Heat Sinks,”
Materials Today: Proceedings, vol. 3, pp. S165–S170, 2016.

[114] K. M. O’Donnell, T. L. Martin, N. A. Fox, and D. Cherns, “Ab initio investigation of lithium
on the diamond C ( 100 ) surface,” Physical Review B, vol. 82, p. 115303, 2010.

[115] G. Glockler, “Bond Energies and Bond Distances of Hydrocarbons,” The Journal of Chemical
Physics, vol. 21, no. 7, pp. 1242–1248, 1953.

[116] D. W. Smith, “Additive bond-energy scheme for the calculation of enthalpies of formation of
hydrocarbons including geminal H-H terms,” Journal of the Chemical Society - Faraday
Transactions, vol. 92, no. 7, pp. 1141–1147, 1996.

[117] W. M. Haynes and D. R. Lide, CRC handbook of chemistry and physics.


Boca Raton, Fla.: CRC Press, 92nd ed., 2011.

[118] P. W. May and Y. A. Mankelevich, “From Ultrananocrystalline Diamond to Single Crystal


Diamond Growth in Hot Filament and Microwave Plasma-Enhanced CVD Reactors :
a Unified Model for Growth Rates and Grain Sizes,” Journal of Physical Chemistry C,
vol. 112, no. 32, pp. 12432–12441, 2008.

199
BIBLIOGRAPHY

[119] W. Setyawan and S. Curtarolo, “High-throughput electronic band structure calculations:


Challenges and tools,” Computational Materials Science, vol. 49, no. 2, pp. 299–312,
2010.

[120] M. Werner, O. Dorsch, H. U. Baerwind, E. Obermeier, L. Haase, W. Seifert, A. Ringhandt,


C. Johnston, S. Romani, H. Bishop, and P. R. Chalker, “Charge transport in heavily B-
doped polycrystalline diamond films,” Applied Physics Letters, vol. 64, no. 5, pp. 595–597,
1994.

[121] N. Mounet and N. Marzari, “First-principles determination of the structural, vibrational


and thermodynamic properties of diamond, graphite, and derivatives,” Physical Review
B - Condensed Matter and Materials Physics, vol. 71, no. 20, pp. 1–14, 2005.

[122] Y. N. Palyanov, “The Many Facets of Diamond Crystals,” Crystals, vol. 8, no. 70, pp. 1–8,
2018.

[123] M. Anne White, S. Kahwaji, V. L. S Freitas, R. Siewert, J. A. Weatherby, M. D. M C


Ribeiro da Silva, S. P. Verevkin, E. R. Johnson, J. W. Zwanziger, M. A. White, S. Kah-
waji, J. A. Weatherby, E. R. Johnson, J. W. Zwanziger, V. L. S Freitas, M. D. M C
Ribeiro da Silva, R. Siewert, and S. P. Verevkin, “The Relative Thermodynamic Sta-
bility of Diamond and Graphite,” Angewandte Chemie - International Edition, vol. 60,
pp. 1546–1549, 2021.

[124] F. P. Bundy, “Pressure-temeprature phase diagram of elemental carbon,” Physica A, vol. 156,
pp. 169–178, 1989.

[125] J. Steinbeck, G. Braunstein, M. S. Dresselhaus, T. Venkatesan, and D. C. Jacobson, “A


model for pulsed laser melting of graphite,” Journal of Applied Physics, vol. 58, no. 11,
pp. 4374–4382, 1985.

[126] P. W. May, “Diamond thin films: a 21st-century material,” Philosophical Transactions of the
Royal Society A: Mathematical, Physical and Engineering Sciences, vol. 358, no. 1766,
pp. 473–495, 2000.

[127] M. Schreck, J. Asmussen, S. Shikata, J.-C. Arnault, and N. Fujimori, “Large-area high-
quality single crystal diamond,” MRS Bulletin, vol. 39, pp. 504–510, 2014.

[128] S. Mandal, E. L. H. Thomas, C. Middleton, L. Gines, J. T. Griffiths, Menno, J. Kappers, R. A.


Oliver, D. J. Wallis, L. E. Goff, S. A. Lynch, M. Kuball, and O. A. Williams, “Surface
Zeta Potential and Diamond Seeding on Gallium Nitride Films,” 2017.

[129] P. Steneteg, V. Chirita, N. Dubrovinskaia, L. Dubrovinsky, and I. A. Abrikosov, “Missing-


atom structure of diamond Σ5 (001) twist grain boundary,” Physical Review B - Con-
densed Matter and Materials Physics, vol. 84, no. 14, pp. 3–6, 2011.

200
BIBLIOGRAPHY

[130] A. C. Ferrari and J. Robertson, “Origin of the 1150 cm-1 Raman mode in nanocrystalline
diamond,” Physical Review B -, vol. 63, no. 121405(R), pp. 2–5, 2001.

[131] J. E. Graebner, S. Jin, G. W. Kammlott, B. Bacon, L. Seibles, and W. Banholzer, “Anisotropic


thermal conductivity in chemical vapor deposition diamond,” Journal of Applied Physics,
vol. 71, pp. 5353–5356, 1992.

[132] D. Ballutaud, F. Jomard, T. Kociniewski, E. Rzepka, H. Girard, and S. Saada, “Sp3 /sp2
character of the carbon and hydrogen configuration in micro- and nanocrystalline
diamond,” Diamond and Related Materials, vol. 17, no. 4-5, pp. 451–456, 2008.

[133] P. May, “Diamond films on Planar (Flat) Substrate.” http://www.chm.bris.ac.uk/pt/


diamond/semflat.htm.
Accessed: 2020-02-17.

[134] D. Spiteri, J. Anaya, and M. Kuball, “The effects of grain size and grain boundary charac-
teristics on the thermal conductivity of nanocrystalline diamond,” Journal of Applied
Physics, vol. 119, no. 8, 2016.

[135] J. Anaya, R. B. Simon, R. Balmer, D. J. Twitchen, F. Faili, M. Kuball, and G. T. Williams,


“Effect of grain size of polycrystalline diamond on its heat spreading properties,” Applied
Physics Express, vol. 9, no. 6, p. 061302, 2016.

[136] A. Sood, J. Cho, K. D. Hobart, T. I. Feygelson, B. B. Pate, M. Asheghi, D. G. Cahill, and K. E.


Goodson, “Anisotropic and inhomogeneous thermal conduction in suspended thin-film
polycrystalline diamond,” Journal of Applied Physics, vol. 119, no. 17, 2016.

[137] J. Anaya, T. Bai, Y. Wang, C. Li, M. Goorsky, T. L. Bougher, L. Yates, Z. Cheng, S. Graham,
K. D. Hobart, T. I. Feygelson, M. J. Tadjer, T. J. Anderson, B. B. Pate, and M. Kuball, “Si-
multaneous determination of the lattice thermal conductivity and grain/grain thermal
resistance in polycrystalline diamond,” Acta Materialia, vol. 139, pp. 215–225, 2017.

[138] J. Anaya, H. Sun, J. Pomeroy, and M. Kuball, “Thermal management of GaN-on-diamond


high electron mobility transistors: Effect of the nanostructure in the diamond near
nucleation region,” Proceedings of the 15th InterSociety Conference on Thermal and
Thermomechanical Phenomena in Electronic Systems, pp. 1558–1565, 2016.

[139] C. J. H. Wort, C. G. Sweeney, M. A. Cooper, G. A. Scarsbrook, and R. S. Sussmann, “Thermal


properties of bulk polycrystalline CVD diamond,” Diamond and Related Materials,
vol. 3, pp. 1158–1167, 8 1994.

[140] M. Kuball, L. Tóth, B. Pécz, S. Rossi, J. Anaya, E. Kohn, and M. Alomari, “Thermal conduc-
tivity of ultrathin nano-crystalline diamond films determined by Raman thermography
assisted by silicon nanowires,” Applied Physics Letters, vol. 106, no. 22, p. 223101, 2015.

201
BIBLIOGRAPHY

[141] J. W. Pomeroy, M. Bernardoni, D. C. Dumka, D. M. Fanning, and M. Kuball, “Low thermal


resistance GaN-on-diamond transistors characterized by three-dimensional Raman
thermography mapping,” Applied Physics Letters, vol. 104, no. 8, pp. 1–6, 2014.

[142] P. R. Hageman, J. J. Schermer, and P. K. Larsen, “GaN growth on single-crystal diamond


substrates by metalorganic chemical vapor deposition and hydride vapour deposition,”
Thin Solid Films, vol. 443, no. 1-2, pp. 9–13, 2003.

[143] Q. Jiang, D. W. Allsopp, and C. R. Bowen, “Growth of GaN epitaxial films on polycrystalline
diamond by metal-organic vapor phase epitaxy,” Journal of Physics D: Applied Physics,
vol. 50, no. 16, 2017.

[144] B. Pécz, L. Tóth, Barna, G. Tsiakatouras, A. O. Ajagunna, A. Kovács, and A. Georgakilas,


“Microscopy of nitride layers grown on diamond,” Journal of Physics: Conference Series,
vol. 326, no. 1, 2011.

[145] W. Xu, S. Xu, H. Tao, Y. Gao, X. Fan, J. Du, L. Ai, L. Peng, J. Zhang, J. Zhang, and Y. Hao,
“High quality GaN grown on polycrystalline diamond substrates with h-BN insertion
layers by MOCVD,” Materials Letters, vol. 305, no. September, p. 130806, 2021.

[146] S. Adachi, “III-V Ternary and Quaternary Compounds,” in Springer Handbook of Elec-
tronic and Photonic Materials (S. Kasap and P. Capper, eds.), p. 1, Cham: Springer
International Publishing, 2017.

[147] D. Babic, D. Francis, Q. Diduck, and F. Ejeckam, “Gallium-nitride-on-diamond wafers and


devices, and methods of manufacture.” US Patent No. US 2014/0141595 A1, 2014.

[148] D. E. Field, J. A. Cuenca, M. Smith, S. M. Fairclough, F. C. Massabuau, J. W. Pomeroy,


O. Williams, R. A. Oliver, I. Thayne, and M. Kuball, “Crystalline Interlayers for Reduc-
ing the Effective Thermal Boundary Resistance in GaN-on-Diamond,” ACS Applied
Materials and Interfaces, vol. 12, no. 48, pp. 54138–54145, 2020.

[149] L. Yates, J. Anderson, X. Gu, C. Lee, T. Bai, M. Mecklenburg, T. Aoki, M. S. Goorsky,


M. Kuball, E. L. Piner, and S. Graham, “Low Thermal Boundary Resistance Interfaces
for GaN-on-Diamond Devices,” ACS Applied Materials and Interfaces, vol. 10, no. 28,
pp. 24302–24309, 2018.

[150] H. Sun, J. W. Pomeroy, R. B. Simon, D. Francis, F. Faili, D. J. Twitchen, and M. Kuball,


“Temperature-Dependent Thermal Resistance of GaN-on-Diamond HEMT Wafers,”
IEEE Electron Device Letters, vol. 37, no. 5, pp. 621–624, 2016.

[151] M. J. Tadjer, T. J. Anderson, K. D. Hobart, T. I. Feygelson, J. D. Caldwell, C. R. Eddy, F. J.


Kub, J. E. Butler, B. Pate, and J. Melngailis, “Reduced self-heating in AlGaN/GaN

202
BIBLIOGRAPHY

HEMTs using nanocrystalline diamond heat-spreading films,” IEEE Electron Device


Letters, vol. 33, no. 1, pp. 23–25, 2012.

[152] A. Wang, M. J. Tadjer, and F. Calle, “Simulation of thermal management in AlGaN/GaN


HEMTs with integrated diamond heat spreaders,” Semiconductor Science and Technol-
ogy, vol. 28, no. 5, 2013.

[153] M. Malakoutian, C. Ren, K. Woo, H. Li, and S. Chowdhury, “Development of Polycrystalline


Diamond Compatible with the Latest N-Polar GaN mm-Wave Technology,” Crystal
Growth and Design, vol. 21, no. 5, pp. 2624–2632, 2021.

[154] E. J. W. Smith, A. H. Piracha, D. Field, J. W. Pomeroy, G. R. Mackenzie, Z. Abdallah, F. C.


Massabuau, A. M. Hinz, D. J. Wallis, R. A. Oliver, M. Kuball, and P. W. May, “Mixed-size
diamond seeding for low-thermal-barrier growth of CVD diamond onto GaN and AlN,”
Carbon, vol. 167, no. 15, pp. 620–626, 2020.

[155] W. M. Waller, J. W. Pomeroy, D. E. Field, E. J. Smith, P. W. May, and K. Martin, “Thermal


Boundary Resistance of Direct van der Waals Bonded GaN-on-Diamond,” Semiconductor
Science and Technology, vol. 35, no. 9, 2020.

[156] D. Liu, D. Francis, F. Faili, C. Middleton, J. Anaya, J. W. Pomeroy, D. J. Twitchen, and


M. Kuball, “Impact of diamond seeding on the microstructural properties and ther-
mal stability of GaN-on-diamond wafers for high-power electronic devices,” Scripta
Materialia, vol. 128, pp. 57–60, 2017.

[157] Y. Zhou, J. Anaya, J. Pomeroy, H. Sun, X. Gu, A. Xie, E. Beam, M. Becker, T. A. Grotjohn,
C. Lee, and M. Kuball, “Barrier-layer optimization for enhanced GaN-on-diamond
device cooling,” ACS Applied Materials and Interfaces, vol. 9, no. 39, pp. 34416–34422,
2017.

[158] M. A. Laurent, M. Malakoutian, and S. Chowdhury, “A study on the nucleation and MPCVD
growth of thin, dense, and contiguous nanocrystalline diamond films on bare and Si3N4-
coated N-polar GaN,” Semiconductor Science and Technology, vol. 35, no. 1, 2020.

[159] H. Sun, R. B. Simon, J. W. Pomeroy, D. Francis, F. Faili, D. J. Twitchen, and M. Kuball,


“Reducing GaN-on-diamond interfacial thermal resistance for high power transistor
applications,” Applied Physics Letters, vol. 106, no. 11, 2015.

[160] J. Cho, D. Francis, D. H. Altman, M. Asheghi, and K. E. Goodson, “Phonon conduction in


GaN-diamond composite substrates,” Journal of Applied Physics, vol. 121, no. 5, 2017.

[161] A. E. Kaloyeros, F. A. Jové, J. Goff, and B. Arkles, “Review—Silicon Nitride and Sili-
con Nitride-Rich Thin Film Technologies: Trends in Deposition Techniques and Re-

203
BIBLIOGRAPHY

lated Applications,” ECS Journal of Solid State Science and Technology, vol. 6, no. 10,
pp. P691–P714, 2017.

[162] H. Fang, S. Chuang, T. C. Chang, and K. Takei, “High Performance Single Layered WSe 2
p -FETs with Chemically Doped Contacts,” Nano Letters, vol. 12, pp. 1–17, 2012.

[163] T. Kimoto and J. A. Cooper, “Physical Properties of Silicon Carbide,” in Fundamentals


of Silicon Carbide Technology (T. Kimoto and J. A. Cooper, eds.), ch. 2, pp. 11–38,
Singapore: John Wiley & Sons, 1st ed., 2014.

[164] I. Ivanov, U. Lindefelt, A. Henry, O. Kordina, C. Hallin, and M. Aroyo, “Phonon replicas at
the M point in A theoretical and experimental study,” Physical Review B - Condensed
Matter and Materials Physics, vol. 58, no. 20, pp. 13634–13647, 1998.

[165] N. H. Protik, A. Katre, L. Lindsay, J. Carrete, N. Mingo, and D. Broido, “Phonon thermal
transport in 2H, 4H and 6H silicon carbide from first principles,” Materials Today
Physics, vol. 1, pp. 31–38, 2017.

[166] R. W. Brander, “Epitaxial Growth of Silicon Carbide,” Journal of The Electrochemical


Society, vol. 111, no. 7, p. 881, 1964.

[167] H. Moriceau, F. Fournel, and F. Rieutord, Materials and manufacturing techniques for
silicon-on-insulator (SOI) wafer technology.
2014.

[168] P. L. F. Hemment, K. J. Reeson, J. A. Kilner, R. J. Chater, C. Marsh, G. R. Booker, J. R.


Davis, and G. K. Celler, “Novel dielectric/silicon planar structures formed by ion beam
synthesis,” Nuclear Instruments and Methods in Physics Research, vol. 21, no. 1-4,
pp. 129–133, 1987.

[169] D. Marsh, G. R. Booker, K. J. Reeson, P. L. F. Hemment, R. J. Chater, J. A. Kilner, J. A.


Alderman, and G. K. Celler, “No Title,” in Proceedings of the European MRS conference,
(Pittsburgh), p. 137, Materials Research Society, 1986.

[170] C. W. Chan, F. Li, A. Sanchez, P. A. Mawby, and P. M. Gammon, “Comparative Study


of RESURF Si/SiC LDMOSFETs for High-Temperature Applications Using TCAD
Modeling,” IEEE Transactions on Electron Devices, vol. 64, no. 9, pp. 3713–3718, 2017.

[171] H.-L. Chou, J. C. W. Ng, R.-H. Liou, Y.-C. Jong, H.-C. Tuan, C.-F. Huang, and J. Gong,
“The Effect of Self-Heating in LDMOSFET Expansion Regime,” IEEE Transaction on
Electron Devices, vol. 59, no. 11, 2012.

204
BIBLIOGRAPHY

[172] M. Kuball and J. W. Pomeroy, “A review of raman thermography for electronic and opto-
electronic device measurement with submicron spatial and nanosecond temporal resolu-
tion,” IEEE Transactions on Device and Materials Reliability, vol. 16, no. 4, pp. 667–684,
2016.

[173] R. Loudon, “The Raman effect in crystals,” Advances in Physics, vol. 13, no. 52, pp. 423–482,
1964.

[174] D. R. Baer and S. Thevuthasan, Characterization of Thin Films and Coatings.


Elsevier Ltd., 3rd ed., 2010.

[175] S. Choi, E. Heller, D. Dorsey, R. Vetury, and S. Graham, “Analysis of the residual stress
distribution in AlGaN/GaN high electron mobility transistors,” Journal of Applied
Physics, vol. 113, no. 9, 2013.

[176] G. Ghosh, “Thermo-Optic Coefficients,” in Handbook of Optical Constants of Solids (E. D.


Palik, ed.), vol. V, ch. 3, Academic Press, 1997.

[177] S. J. Orfanidis, “Electromagnetic Waves and Antennas.” https://www.ece.rutgers.edu/ or-


fanidi/ewa/, 2016.
Accessed: 2022-04-12.

[178] R. B. Wilson, B. A. Apgar, L. W. Martin, and D. G. Cahill, “Thermoreflectance of metal


transducers for optical pump-probe studies of thermal properties.,” Optics express,
vol. 20, no. 27, pp. 28829–38, 2012.

[179] J. A. Summers, T. Yang, M. T. Tuominen, and J. A. Hudgins, “High contrast, depth-resolved


thermoreflectance imaging using a Nipkow disk confocal microscope,” Rev. Sci. Instrum,
vol. 81, p. 014902, 2010.

[180] P. Jiang, X. Qian, and R. Yang, “Tutorial: Time-domain thermoreflectance (TDTR) for
thermal property characterization of bulk and thin film materials,” Journal of Applied
Physics, vol. 124, no. 16, 2018.

[181] R. Hui, “Introduction,” in Introduction to Fiber-Optic Communications, ch. 1, pp. 3–15,


Academic Press, 2020.

[182] C. Yuan, J. W. Pomeroy, and M. Kuball, “Above bandgap thermoreflectance for non-invasive
thermal characterization of GaN-based wafers,” Applied Physics Letters, vol. 113,
no. 102101, 2018.

[183] P. Hui and H. S. Tan, “A Transmissionline Theory for Heat Conduction in Multilayer Thin
Films,” IEEE Transactions on Components Packaging and Manufacturing Technology
Part B, vol. 17, no. 3, pp. 426–434, 1994.

205
BIBLIOGRAPHY

[184] G. Chen and P. Hui, “Pulsed photothermal modeling of composite samples based on
transmission-line theory of heat conduction,” Thin Solid Films, vol. 339, no. 1-2, pp. 58–
67, 1999.

[185] K. L. Kuhlman, “Review of inverse Laplace transform algorithms for Laplace-space numer-
ical approaches,” Numerical Algorithms, vol. 63, no. 2, pp. 339–355, 2013.

[186] Z. Hu, “Characterization of Materials, Nanomaterials, and Thin Films by Nanoindentation,”


in Microscopy Methods in Nanomaterials Characterization (S. Thomas, R. Thomas, A. K.
Zachariah, and R. K. Mishra, eds.), ch. 6, pp. 165–239, Elsevier, 1st ed., 2017.

[187] P. Bandyopadhyay, A. Dey, and A. K. Mukhopadhyay, “Instrumental Details,” in Nanoin-


dentation of Brittle Solids (A. Dey and A. K. Mukhopadhyaya, eds.), ch. 8, pp. 57–62,
Boca Raton, FL: CRC Press, 1st ed., 2014.

[188] “Quasi-Static Nanoindentation.” https://www.bruker.com/en/


products-and-solutions/test-and-measurement/nanomechanical-test-systems/
nanoindentation.html.
Accessed: 2020-02-17.

[189] N. A. Sakharova, J. V. Fernandes, J. M. Antunes, and M. C. Oliveira, “Comparison between


Berkovich, Vickers and conical indentation tests: A three-dimensional numerical simu-
lation study,” International Journal of Solids and Structures, vol. 46, pp. 1095–1104, 3
2009.

[190] J. W. Hutchinson and Z. Suo, “Mixed Mode Cracking in Layered Materials,” Advances in
Applied Mechanics, vol. 29, pp. 63–191, 1991.

[191] P. Eaton and P. West, “Introduction,” in Atomic Force Microscopy, ch. 1, pp. 583–605, Oxford
University Press, 2010.

[192] B. P. Brown, L. Picco, M. J. Miles, and C. F. Faul, “Opportunities in high-speed atomic force
microscopy,” Small, vol. 9, no. 19, pp. 3201–3211, 2013.

[193] N. Dushkina, “Light Sources,” in Handbook of Optical Metrology (T. Yoshizawa, ed.), ch. 1,
Boca Raton, FL: CRC Press, 2nd ed., 2015.

[194] T. Yoshizawa and T. Wakayama, “Surface Profilometry,” in Handbook of Optical Metrology


(T. Yoshizawa, ed.), ch. 19, Boca Raton, FL: CRC Press, 2nd ed., 2015.

[195] K. Kitagawa, “Film Surface and Thickness Profilometry,” in Handbook of Optical Metrology
(Yoshizawa, ed.), ch. 29, Boca Raton: CRC Press, 2nd ed., 2015.

[196] Y.-y. Cheng and J. C. Wyant, “Multiple-wavelength phase-shifting interferometry,” Applied


Optics, vol. 24, no. 6, pp. 804–806, 1985.

206
BIBLIOGRAPHY

[197] ProFilm, “ProFilm 3D Optical Profilometers Data Sheet.” https://files.filmetrics.


com/pdf/FilmetricsDatasheet-Profilm3DBh6.pdf.
Accessed: 2020-02-17.

[198] A. Tsujimoto, W. W. Barkmeier, N. G. Fischer, K. Nojiri, Y. Nagura, T. Takamizawa, M. A.


Latta, and M. Miazaki, “Wear of resin composites: Current insights into underlying
mechanisms, evaluation methods and influential factors,” Japanese Dental Science
Review, vol. 54, pp. 76–87, 5 2018.

[199] P. Goodhew, J. Humphreys, R. Beanland, and L. Cartwright, Electron Microscopy and


Analysis.
Boca Raton, FL: CRC Press, 3rd ed., 2000.

[200] A. Nanakoudis, “What is SEM? Scanning Electron Microscopy


Explained.” https://www.thermofisher.com/blog/microscopy/
what-is-sem-scanning-electron-microscopy-explained/.
Accessed: 2020-02-17.

[201] N. Marturi, Vision and visual servoing for nanomanipulation and nanocharacterizationin
scanning electron microscope.
PhD thesis, Université de Franche-Comté, 2013.

[202] F. N. Li, R. Akhvlediani, M. K. Kuntumalla, and A. Hoffman, “Oxygen bonding configura-


tions and defects on differently oxidized diamond surfaces studied by high resolution
electron energy loss spectroscopy and X-ray photoelectron spectroscopy measurements,”
Applied Surface Science, vol. 465, pp. 313–319, 2019.

[203] S. A. Russell, A. Perez-Tomas, C. F. McConville, C. A. Fisher, D. P. Hamilton, P. A. Mawby,


and M. R. Jennings, “Heteroepitaxial Beta-Ga2 O3 on 4H-SiC for an FET with Reduced
Self Heating,” IEEE Journal of the Electron Devices Society, vol. 5, no. 4, pp. 256–261,
2017.

[204] J. W. Pomeroy, C. Middleton, M. Singh, S. Dalcanale, M. J. Uren, M. H. Wong, K. Sasaki,


A. Kuramata, S. Yamakoshi, M. Higashiwaki, and M. Kuball, “Raman Thermography
of Peak Channel Temperature in β-Ga2 O3 MOSFETs,” IEEE Electron Device Letters,
vol. 40, no. 2, pp. 189–192, 2019.

[205] D. B. Marshall and A. G. Evans, “Measurement of adherence of residually stressed thin


films by indentation. I. Mechanics of interface delamination,” Journal of Applied Physics,
vol. 56, no. 10, pp. 2632–2638, 1984.

[206] A. G. Evans and J. W. Hutchinson, “On the mechanics of delamination and spalling in
compressed films,” International Journal of Solids and Structures, vol. 20, no. 5, pp. 455–
466, 1984.

207
BIBLIOGRAPHY

[207] R. Schoeppner, C. Ferguson, L. Pethö, C. Guerra-Nuñez, A. A. Taylor, M. Polyakov, B. Putz,


J. M. Breguet, I. Utke, and J. Michler, “Interfacial adhesion of alumina thin films over
the full compositional range of ternary fcc alloy films: A combinatorial nanoindentation
study,” Materials and Design, vol. 193, 2020.

[208] M. Sinusía Lozano, Z. Chen, O. A. Williams, and G. F. Iriarte, “Giant Reflection Coefficient
on Sc0.26 Al0.74 N Polycrystalline Diamond Surface Acoustic Wave Resonators,” Physica
Status Solidi (A) Applications and Materials Science, vol. 216, no. 20, pp. 1–7, 2019.

[209] A. Kleinbichler, J. Zechner, and M. J. Cordill, “Buckle induced delamination techniques


to measure the adhesion of metal dielectric interfaces,” Microelectronic Engineering,
vol. 167, pp. 63–68, 2017.

[210] D. Nečas and P. Klapetek, “Gwyddion: An open-source software for SPM data analysis,”
Central European Journal of Physics, vol. 10, no. 1, pp. 181–188, 2012.

[211] D. G. Zhao, S. J. Xu, M. H. Xie, S. Y. Tong, and H. Yang, “Stress and its effect on optical
properties of GaN epilayers grown on Si(111), 6H-SiC(0001), and c-plane sapphire,”
Applied Physics Letters, vol. 83, no. 4, pp. 677–679, 2003.

[212] D. E. Field, R. Beale, N. Getzler, J. W. Pomeroy, A. J. Leide, D. Francis, D. Twitchen, F. Faili,


D. Liu, and M. Kuball, “Evaluating the interfacial toughness of GaN-on-diamond with
an improved analysis using nanoindentation,” Scripta Materialia, vol. 209, p. 114370, 3
2022.

[213] D. G. Liu, Y. J. Mai, J. Sun, Z. J. Luan, W. C. Shi, L. M. Luo, H. Li, and Y. C. Wu, “Surface
metallization of Cu/Ni/Au coatings on diamond/Cu composite materials for heat sink
application,” Ceramics International, vol. 43, no. 16, pp. 13133–13139, 2017.

[214] M. Lu and H. Huang, “Determination of the energy release rate in the interfacial delamina-
tion of silicon nitride film on gallium arsenide substrate via nanoindentation,” Journal
of Materials Research, vol. 29, pp. 801–810, 3 2014.

[215] H. Xie and H. Huang, “Characterization of the interfacial strength of SiNx/GaAs


film/substrate systems using energy balance in nanoindentation,” Journal of Materials
Research, vol. 28, pp. 3137–3145, 11 2013.

[216] B. L. Hancock, M. Nazari, J. Anderson, E. Piner, F. Faili, S. Oh, D. Francis, D. Twitchen,


S. Graham, and M. W. Holtz, “Ultraviolet and visible micro-Raman and micro-
photoluminescence spectroscopy investigations of stress on a 75-mm GaN-on-diamond
wafer,” Physica Status Solidi (C) Current Topics in Solid State Physics, vol. 14, no. 8,
2017.

208
BIBLIOGRAPHY

[217] S. E. Coe and R. S. Sussmann, “Optical, thermal and mechanical properties of CVD
diamond,” Diamond and Related Materials, vol. 9, no. 9-10, pp. 1726–1729, 2000.

[218] Y. Li, C. W. Tang, and K. M. Lau, “Comparison of the AlN and GaN crystalline quality on
2-inch silicon substrate via two growth methods,” Journal of Crystal Growth, vol. 535,
p. 125545, 4 2020.

[219] B. L. Hancock, M. Nazari, J. Anderson, E. Piner, F. Faili, S. Oh, D. Twitchen, S. Graham,


and M. Holtz, “Ultraviolet micro-Raman spectroscopy stress mapping of a 75-mm
GaN-on-diamond wafer,” Applied Physics Letters, vol. 108, no. 21, 2016.

[220] J. H. Je and D. Y. Noh, “Microstructure of diamond and β-SiC interlayer studied by


synchrotron x-ray scattering,” Journal of Applied Physics, vol. 80, no. 5, pp. 2791–2798,
1996.

[221] Y. F. Wu, A. Saxler, M. Moore, R. P. Smith, S. Sheppard, P. M. Chavarkar, T. Wisleder, U. K.


Mishra, and P. Parikh, “30-W/mm GaN HEMTs by Field Plate Optimization,” IEEE
Electron Device Letters, vol. 25, no. 3, pp. 117–119, 2004.

[222] V. Bougrov, M. E. Levinshtein, S. L. Rumyantsev, and A. Zubrilov, Properties of Advanced


Semiconductor Materials GaN, AlN, InN, BN, SiC, SiGe.
Wiley, 2001.

[223] M. Alomari, A. Dussaigne, D. Martin, N. Grandjean, C. Gaquière, and E. Kohn, “AlGaN/GaN


HEMT on (111) single crystalline diamond,” Electronics Letters, vol. 46, no. 4, p. 299,
2010.

[224] S. Mandal, C. Yuan, F. Massabuau, J. W. Pomeroy, J. Cuenca, H. Bland, E. Thomas,


D. Wallis, T. Batten, D. Morgan, R. Oliver, M. Kuball, and O. A. Williams, “Thick ,
Adherent Diamond Films on AlN with Low Thermal Barrier Resistance,” ACS Applied
Materials and Interfaces, 2019.

[225] G. A. Slack, R. A. Tanzilli, R. Pohl, and J. W. Vandersande, “The intrinsic thermal conduc-
tivity of AlN,” J. Phys. Chem. Solids, vol. 48, no. 7, pp. 641–647, 1987.

[226] M. Smith, J. Cuenca, D. Field, Y.-C. Fu, C. Yuan, F. Massabuau, S. Mandal, J. Pomeroy,
R. Oliver, M. Uren, K. Elgaid, O. Williams, I. Thayne, and M. Kuball, “GaN-on-diamond
technology platform: Bonding-free membrane manufacturing process,” AIP Advances,
vol. 10, no. 3, 2020.

[227] M. D. Smith, X. Li, M. J. Uren, I. G. Thayne, and M. Kuball, “Polarity dependence in


Cl2-based plasma etching of GaN, AlGaN and AlN,” Applied Surface Science, vol. 521,
no. December 2019, 2020.

209
BIBLIOGRAPHY

[228] Y. Han, S. Xue, W. Guo, Y. Luo, Z. Hao, and C. Sun, “Highly Selective Dry Etching of
GaN over AlGaN Using Inductively Coupled Cl2/N2/O2 Plasmas,” Japanese Journal of
Applied Physics, Part 2: Letters, vol. 42, no. 10 A, pp. 8–11, 2003.

[229] G. Chen and P. Hui, “Thermal conductivities of evaporated gold films on silicon and glass,”
Applied Physics Letters, vol. 74, no. 20, pp. 2942–2944, 1999.

[230] E. K. Sichel and J. I. Pankove, “Thermal conductivity of GaN, 25-360 K,” J. Phys. Chem.
Solids, vol. 38, no. 3, p. 330, 1977.

[231] M. E. Levinshtein, S. L. Rumyantsev, and M. S. Shur, Properties of Advanced Semiconductor


Materials: GaN, AIN, InN, BN, SiC, SiGe.
John Wiley & Sons, 2001.

[232] W. DeSorbo, “Specific heat of diamond at low temperatures,” The Journal of Chemical
Physics, vol. 21, no. 5, pp. 876–880, 1953.

[233] R. Liebchen, O. Breitschädel, A. R. Durmaz, and A. Griesinger, “Thermal characterization


of epitaxial grown polycrystalline silicon,” Thin Solid Films, vol. 606, pp. 99–105, 2016.

[234] D. Ozevin, Micro-electro-mechanical-systems (MEMS) for assessing and monitoring civil


infrastructures, vol. 1.
2014.

[235] A. S. Okhotin, A. S. Pushkarskii, and V. Gorbachev, Thermophysical Properties of Semicon-


ductors.
Atom Publ. House, 1972.

[236] A. S. Grove, Physics and technology of semiconductor devices.


New York: Wiley, 1967.

[237] A. Majumdar, “Microscale Heat Conduction in Dielectric Thin Films,” Journal of Heat
Transfer, vol. 115, pp. 7–16, 2 1993.

[238] N. W. Ashcroft and N. D. Mermin, Solid State Physics.


Holt, Rinehart and Winston, 1st ed., 1976.

[239] V. S. Sedov, A. K. Martyanov, A. A. Khomich, S. S. Savin, V. V. Voronov, R. A. Khmelnitskiy,


A. P. Bolshakov, and V. G. Ralchenko, “Co-deposition of diamond and β-SiC by microwave
plasma CVD in H2-CH4-SiH4 gas mixtures,” Diamond and Related Materials, vol. 98,
no. July, 2019.

[240] S. Osswald, G. Yushin, V. Mochalin, S. O. Kucheyev, and Y. Gogotsi, “Control of sp2/sp3


carbon ratio and surface chemistry of nanodiamond powders by selective oxidation in
air,” Journal of the American Chemical Society, vol. 128, no. 35, pp. 11635–11642, 2006.

210
BIBLIOGRAPHY

[241] P. W. May, “The New Diamond Age ?,” Science, vol. 319, no. March, pp. 1490–1491, 2008.

[242] Z. Cheng, Y. R. Koh, H. Ahmad, R. Hu, J. Shi, M. E. Liao, Y. Wang, T. Bai, R. Li, E. Lee,
E. A. Clinton, C. M. Matthews, Z. Engel, L. Yates, T. Luo, M. S. Goorsky, W. A. Doolittle,
Z. Tian, P. E. Hopkins, and S. Graham, “Thermal conductance across harmonic-matched
epitaxial Al-sapphire heterointerfaces,” Communications Physics, vol. 3, 12 2020.

[243] M. K. Patankar, S. Parida, S. Chandra, V. Srihari, M. Kasinathan, R. P. Behera, T. Jayanthi,


and S. Dhara, “Observation of local vibrational modes in N-doped 6H-SiC,” Indian
Journal of Physics, vol. 96, pp. 1691–1697, 5 2021.

[244] C. Bungaro, K. Rapcewicz, and J. Bernholc, “Ab initio phonon dispersions of wurtzite AlN,
GaN, and InN,” Physical Review B - Condensed Matter and Materials Physics, vol. 61,
no. 10, pp. 6720–6725, 2000.

[245] S. Wienecke, B. Romanczyk, M. Guidry, H. Li, E. Ahmadi, K. Hestroffer, X. Zheng, S. Keller,


and U. K. Mishra, “N-polar gaN cap MISHEMT with Record Power Density Exceeding
6.5 W/mm at 94 GHz,” IEEE Electron Device Letters, vol. 38, no. 3, pp. 359–362, 2017.

[246] B. Romanczyk, W. Li, M. Guidry, N. Hatui, A. Krishna, C. Wurm, S. Keller, and U. K.


Mishra, “N-Polar GaN-on-Sapphire Deep Recess HEMTs with High W-Band Power
Density,” IEEE Electron Device Letters, vol. 41, no. 11, pp. 1633–1636, 2020.

[247] L. M. Mandel, “Electronic assembly for removing heat from a flip chip.” EU Patent No. EP
1 463 114 A1, 2004.

[248] X. Sun, X. Liu, J. Yin, J. Yu, Y. Li, Y. Hang, X. Zhou, M. Yu, J. Li, G. Tai, and W. Guo, “Two-
Dimensional Boron Crystals: Structural Stability, Tunable Properties, Fabrications and
Applications,” Advanced Functional Materials, vol. 27, no. 19, p. 1603300, 2017.

[249] S. Keller, H. Li, M. Laurent, Y. Hu, N. Pfaff, J. Lu, D. F. Brown, N. A. Fichtenbaum, J. S.


Speck, S. P. Denbaars, and U. K. Mishra, “Recent progress in metal-organic chemical
vapor deposition of (0001̄) N-polar group-III nitrides,” Semiconductor Science and
Technology, vol. 29, no. 11, 2014.

[250] S. Keller, G. Parish, P. T. Fini, S. Heikman, C. H. Chen, N. Zhang, S. P. DenBaars, U. K.


Mishra, and Y. F. Wu, “Metalorganic chemical vapor deposition of high mobility Al-
GaN/GaN heterostructures,” Journal of Applied Physics, vol. 86, no. 10, pp. 5850–5857,
1999.

[251] S. Prawer and R. J. Nemanich, “Raman spectroscopy of diamond and doped diamond.,”
Philosophical transactions of the Royal Society A, vol. 362, pp. 2537–2565, 2004.

211
BIBLIOGRAPHY

[252] A. J. Schmidt, R. Cheaito, and M. Chiesa, “Characterization of thin metal films via
frequency-domain thermoreflectance,” Journal of Applied Physics, vol. 107, no. 2, 2010.

[253] E. Ziade, J. Yang, G. Brummer, D. Nothern, T. Moustakas, and A. J. Schmidt, “Thickness


dependent thermal conductivity of gallium nitride,” Applied Physics Letters, vol. 110,
no. 3, 2017.

[254] D. E. Field, F. Wach, J. W. Pomeroy, and M. Kuball, “Differences in SiC Wafer Thermal Con-
ductivity from Face to Face Dependent on Polishing,” in Proceedings of the International
Conference on Compound Semiconductor Manufacturing Technology, 2022.

[255] D. E. Field, J. W. Pomeroy, F. Gity, M. Schmidt, P. Torchia, F. Li, P. M. Gammon, V. A. Shah,


and M. Kuball, “Thermal characterization of direct wafer bonded Si-on-SiC,” Appl. Phys.
Lett, vol. 120, p. 113503, 2022.

[256] M. Bruel, B. Aspar, and A. J. Auberton-Hervé, “Smart-cut: A new silicon on insulator


material technology based on hydrogen implantation and wafer bonding,” Japanese
Journal of Applied Physics, Part 1: Regular Papers and Short Notes and Review Papers,
vol. 36, no. 3 SUPPL. B, pp. 1636–1641, 1997.

[257] M. Meuris, S. Arnauts, I. Cornelissen, K. Kenis, M. Lux, S. Degendt, P. Meterns, I. Teer-


linck, R. Vos, L. Loewenstein, and M. M. Heyns, “Implementation of the IMEC-Clean
in advanced CMOS manufacturing,” in 1999 IEEE International Symposium on Semi-
conductor Manufacturing Conference Proceedings (Cat No.99CH36314), pp. 157–160,
1999.

[258] J. S. Alper and R. I. Gelb, “Standard errors and confidence intervals in nonlinear regression:
Comparison of Monte Carlo and parametric statistics,” Journal of Physical Chemistry,
vol. 94, no. 11, pp. 4747–4751, 1990.

[259] Y. Zhang, W. Zhu, and T. Borca-Tasciuc, “Thermal conductivity measurements of thin films
by non-contact scanning thermal microscopy under ambient conditions,” Nanoscale
Advances, vol. 3, no. 3, pp. 692–702, 2021.

[260] A. V. Inyushkin, A. N. Taldenkov, A. M. Gibin, A. V. Gusev, and H. J. Pohl, “On the isotope
effect in thermal conductivity of silicon,” Physica Status Solidi C: Conferences, vol. 1,
no. 11, pp. 2995–2998, 2004.

212

You might also like

pFad - Phonifier reborn

Pfad - The Proxy pFad of © 2024 Garber Painting. All rights reserved.

Note: This service is not intended for secure transactions such as banking, social media, email, or purchasing. Use at your own risk. We assume no liability whatsoever for broken pages.


Alternative Proxies:

Alternative Proxy

pFad Proxy

pFad v3 Proxy

pFad v4 Proxy