VLSI and OFC Lab2016 PDF
VLSI and OFC Lab2016 PDF
DEPARTMENT OF
ELECTRONICS & COMMUNICATION
ENGINEERING
INDEX
Design a SR-latch and D-latch using CMOS. Obtain its static and dynamic
1. Student should get the record of previous experiment checked before starting the new
experiment.
3. Before starting the experiment, get circuit diagram checked by the teacher.
4. Before switching on the power supply, get the circuit connections checked.
9. Students should get the experiment allotted for next turn, before leaving the lab.
DON’TS
1. Do not touch or attempt to touch the mains power supply wire with bare hands.
2. Do not overcrowd the tables.
3. Do not tamper with equipments.
4. Do not leave the lab without permission from the teacher.
INSTRUCTIONS TO THE STUDENTS
GENERAL INSTRUCTIONS
THEORY: HDL stands for very high-speed integrated circuit hardware description language.
Which is one of the programming language used to model a digital system by dataflow,
behavioral and structural style of modeling. This language was first introduced in 1981 for the
department of Defense (DoD) under the VHSIC programe. In 1983 IBM, Texas instruments and
Intermetrics started to develop this language. In 1985 VHDL 7.2 version was released. In 1987
IEEE standardized the language.
Describing a design:
1. Entity declaration.
2. Architecture.
3. Configuration
4. Package declaration.
5. Package body.
Entity declaration:
It defines the names, input output signals and modes of a hardware module.
Syntax:
entity entity_name is
Port declaration;
end entity_name;
An entity declaration should starts with „entity‟ and ends with „end‟ keywords.
Ports are interfaces through which an entity can communicate with its environment. Each
port must have a name, direction and a type. An entity may have no port declaration also. The
direction will be input, output or inout.
Architecture:
It describes the internal description of design or it tells what is there inside design. Each entity
has atleast one architecture and an entity can have many architecture. Architecture can be
described using structural, dataflow, behavioral or mixed style. Architecture can be used to
describe a design at different levels of abstraction like gate level, register transfer level (RTL) or
behavior level.
Syntax:
Configuration:
If an entity contains many architectures and any one of the possible architecture binding with
its entity is done using configuration. It is used to bind the architecture body to its entity and a
component with an entity.
Syntax:
for block_name
component_binding;
end for;
block_name is the name of the architecture body. Component binding binds the components of
the block to entities. This can be written as,
for component_labels:component_name
block_configuration;
end for;
Package declaration:
Package declaration is used to declare components, types, constants, functions and so on.
Syntax:
package package_name is
Declarations;
end package_name;
Package body:
A package body is used to declare the definitions and procedures that are declared in
corresponding package. Values can be assigned to constants declared in package in package
body.
Syntax:
The internal working of an entity can be defined using different modeling styles inside
architcture body. They are
1. Dataflow modeling.
2. Behavioral modeling.
3. Structural modeling.
Structure of an entity:
Let‟s try to understand with the help of one example.
Dataflow modeling:
In this style of modeling, the internal working of an entity can be implemented using
concurrent signal assignment.
Let‟s take half adder example which is having one XOR gate and a AND gate.
Library IEEE;
use IEEE.STD_LOGIC_1164.all;
entity ha_en is
port (A,B:in bit;S,C:out bit);
end ha_en;
end ha_ar;
Here STD_LOGIC_1164 is an IEEE standard which defines a nine-value logic type, called
STD_ULOGIC. use is a keyword, which imports all the declarations from this package. The
architecture body consists of concurrent signal assignments, which describes the functionality of
the design. Whenever there is a change in RHS, the expression is evaluated and the value is
assigned to LHS.
Behavioral modeling:
In this style of modeling, the internal working of an entity can be implemented using set of
statements.
It contains:
Process statements
Sequential statements
Signal assignment statements
Wait statements
Process statement is the primary mechanism used to model the behavior of an entity. It contains
sequential statements, variable assignment (:=) statements or signal assignment (<=) statements
etc. It may or may not contain sensitivity list. If there is an event occurs on any of the signals in
the sensitivity list, the statements within the process is executed.
Inside the process the execution of statements will be sequential and if one entity is having two
processes the execution of these processes will be concurrent. At the end it waits for another
event to occur.
library IEEE;
use IEEE.STD_LOGIC_1164.all;
entity ha_beha_en is
port(
A : in BIT;
B : in BIT;
S : out BIT;
C : out BIT
);
end ha_beha_en;
end ha_beha_ar;
Here whenever there is a change in the value of a or b the process statements are executed.
Structural modeling:
It contains:
Signal declaration.
Component instances
Port maps.
Wait statements.
Component declaration:
Syntax:
Before instantiating the component it should be declared using component declaration as shown
above. Component declaration declares the name of the entity and interface of a component.
Let‟s try to understand this by taking the example of full adder using 2 half adder and 1 OR
gate.
library IEEE;
use IEEE.STD_LOGIC_1164.all;
entity fa_en is
port(A,B,Cin:in bit; SUM, CARRY:out bit);
end fa_en;
component ha_en
port(A,B:in bit;S,C:out bit);
end component;
signal C1,C2,S1:bit;
begin
end fa_ar;
The program we have written for half adder in dataflow modeling is instantiated as shown above.
ha_en is the name of the entity in dataflow modeling. C1, C2, S1 are the signals used for internal
connections of the component which are declared using the keyword signal. Port map is used to
connect different components as well as connect components to ports of the entity.
Signal_list is the architecture signals which we are connecting to component ports. This can be
done in different ways. What we declared above is positional binding. One more type is the
named binding. The above can be written as,
HA2:ha_en port map(A => S1,B => Cin, S=> SUM, C => C2);
Test bench:
The correctness of the above program can be checked by writing the test bench.
The test bench is used for generating stimulus for the entity under test. Let‟s write a simple test
bench for full adder.
library IEEE;
use IEEE.STD_LOGIC_1164.all;
entity tb_en is
end tb_en;
begin
stimulus: process
begin
a_i<='1';b_i<='1';c_i<='1';
wait for 10ns;
a_i<='0';b_i<='1';c_i<='1';
wait for 10ns;
a_i<='1';b_i<='0';c_i<='0';
wait for 10ns;
if now=30ns then
wait;
end if;
end tb_ar;
Here now is a predefined function that returns the current simulation time
What we saw upto this is component instantiation by positional and by name. In this test
bench example the entity is directly instantiated. The direct entity instantiation syntax is:
TRUTH TABLE
a b c=a.b
0 0 0
0 1 0
1 0 0
1 1 1
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity vikas is
b: in STD_LOGIC;
c: out STD_LOGIC);
end vikas;
begin
process(a,b)
begin
c <= a nand b;
end process;
end Behavioral;
RESULT: We have successfully performed AND gate operation and drawn its characteristics.
Experiment No:- 1.3
OBJECTIVE 1.3: Simulation of XOR gate using VHDL code through Xilinx.
TRUTH TABLE:
a b c=a OR b
0 0 0
0 1 1
1 0 1
1 1 1
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity vikas is
b: in STD_LOGIC;
c: out STD_LOGIC);
end vikas;
begin
process(a,b)
begin
c <= a xor b;
end process;
end Behavioral;
RESULT: We have successfully performed OR gate operation and drawn its characterstics.
SAMPLE VIVA QUESTIONS
Q3. Draw the gate level implementation of NAND gate using NOR gate.
Q 4. Draw the gate level implementation of NOT gate using NAND gate.
Q5. Draw the gate level implementation of OR gate using NAND gate.
Q6. Draw the gate level implementation of AND gate using NAND gate.
Q7. Draw the gate level implementation of NOR gate using NAND gate.
Q8. Draw the gate level implementation of XOR gate using NAND gate.
Q9. Draw the gate level implementation of XNOR gate using NAND gate.
Q11. Draw the gate level implementation of AND gate using NOR gate
Q13. Why NAND and NOR gate are called universal gate.
OBJECT- : Perform and draw the RTL and Technology circuit for the
VHDL CODE-
TRUTH TABLE:
a b c= a.b s
0 0 0 0
0 1 0 1
1 0 0 1
1 1 1 0
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity vikas is
b: in STD_LOGIC;
c: out STD_LOGIC;
s: out STD_LOGIC);
end vikas;
architecture Behavioral of vikas is
begin
process(a,b)
begin
s <= a xor b;
c <= a and b;
end process;
end Behavioral;
Circuit Diagram:--
RESULT: We have successfully performed Half Adder operation and drawn its characterstics
EXPERIMENT 2.2
TRUTH TABLE:
a b c cout s
0 0 0 0 0
0 0 1 0 1
0 1 0 0 1
0 1 1 1 0
1 0 0 0 1
1 0 1 1 0
1 1 0 1 0
1 1 1 1 1
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity vikas is
b: in STD_LOGIC;
c: in STD_LOGIC;
s: out STD_LOGIC);
end vikas;
begin
process(a,b,c)
begin
end process;
end Behavioral;
Circuit Diagram:--
RESULT: We have successfully performed Full Adder operation and drawn its characterstics
SAMPLE VIVA QUESTIONS
Q6. How can you implement half adder in multiplication of two binary number.
OBJECT: Design a SR-latch and D-latch using VHDL. Obtain its static and dynamic analysis
for speed and power dissipation.
VHDL CODE-
library IEEE;
use IEEE.STD_LOGIC_1164.all;
entity FDRS is
C : in std_ulogic;
R : in std_ulogic;
S : in std_ulogic;
Clear: in std_ulogic
);
end FDRS;
begin
process(C,Clear)
begin
end if;
if (rising_edge(C)) then
if (Clear = '1')
Q <=0;
Q <= '0' ;
Q <= '1' ;
Q4. Give the gate level implementation of D-latch using NAND gate.
Q6. What is the basic difference between a latch and a flip flop.
Q14. What do you mean by positive edge triggering and negative edge triggering.
VHDL CODE-
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity abcde is
Port ( i0 : in STD_LOGIC;
i1 : in STD_LOGIC;
i2 : in STD_LOGIC;
i3 : in STD_LOGIC;
y : out STD_LOGIC);
end abcde;
begin
process(i0,i1,i2,i3,sel)
begin
case sel is
when"00"=> y <=i0;
when"01"=> y <=i1;
when"10"=> y <=i2;
end process;
end Behavioral;
Circuit Diagram:--
Experiment 4.2
OBJECT 4.2 : Write VHDL code for 1:4 De- Multiplexer
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity demux1_4 is
port (
out0 : out std_logic; --output bit
out1 : out std_logic; --output bit
out2 : out std_logic; --output bit
out3 : out std_logic; --output bit
sel : in std_logic_vector(1 downto 0);
bitin : in std_logic --input bit
);
end demux1_4;
begin
process(bitin,sel)
begin
case sel is
when "00" => out0 <= bitin; out1 <= '0'; out2 <= '0'; out3 <='0';
when "01" => out1 <= bitin; out0 <= '0'; out2 <= '0'; out3 <='0';
when "10" => out2 <= bitin; out0 <= '0'; out1 <= '0'; out3 <='0';
when others => out3 <= bitin; out0 <= '0'; out1 <= '0'; out2 <='0';
end case;
end process;
end Behavioral;
Sample Viva Question
OBJECTIVE: Design a 4- bit Serial in-serial out shift register. Obtain its number of gates,
area, and speed and power dissipation
VHDL CODE:
library IEEE;
use IEEE.STD_LOGIC_1164.all;
entity siso_behavior is
port(
din : in STD_LOGIC;
clk : in STD_LOGIC;
reset : in STD_LOGIC;
);
end siso_behavior;
begin
begin
if (reset='1') then
s := "0000";
end if;
end siso_behavior_arc;
SAMPLE VIVA QUESTIONS
Q12. What are PISO, SIPO and SISO with respect to shift register?
Q15. The bit sequence 0010 is serially entered (right most first bit) into 4 bit parallel out shift
register that is initially clear. What are the Q outputs after two pulses?
EXPERIMENT 6
OBJECTIVE: Design a 4-bit Comparator. Obtain its number of gates, area, and
speed and power dissipation
VHDL CODE:
LIBRARY ieee ;
USE ieee.std_logic_1164.all ;
USE ieee.std_logic_arith.all ;
ENTITY compare IS
PORT ( A, B : IN SIGNED(3 DOWNTO 0) ;
AeqB, AgtB, AltB : OUT STD_LOGIC ) ;
END compare ;
ARCHITECTURE Behavior OF compare IS
BEGIN
AeqB <= „1‟ WHEN A = B ELSE „0‟ ;
AgtB <= „1‟ WHEN A > B ELSE „0‟ ;
AltB <= „1‟ WHEN A < B ELSE „0‟ ;
END Behavior ;
OR
library IEEE;
use IEEE.STD_LOGIC_1164.all;
entity comparator_4bit is
port(
a : in STD_LOGIC_VECTOR(3 downto 0);
b : in STD_LOGIC_VECTOR(3 downto 0);
equal : out STD_LOGIC;
greater : out STD_LOGIC;
lower : out STD_LOGIC
);
end comparator_4bit;
EQUIPMENTS:
1. OFT
2. Two channel,20MHz Oscilloscope.
3. Function Generator, 1Hz- 10MHz
4. Fiber alignment unit
5. Numerical aperture measurement unit
THEORY:
INTRODUCTION
The FIBER used in OFT is multimode plastic fiber 1000 µm core diameter. Unlike its Glass-
Glass and Plastic coated Silica FIBER counterparts, this FIBER has very high attenuation. It is useful
mainly for short links such as in Local Area Networks, especially where there could be serious EMI
problems. This FIBER has been selected for the OFT because of the ease of handling it affords. While the
loss in plastic FIBER is high for all wavelength regions, the loss at 850 µm is much higher than at 650
µm. Apart from the above propagation loss in a FIBER, bending of FIBER, connectors, splices and
couplers may all contribute significantly to the losses in a FIBER optic communication link. An optical
FIBER is a circular waveguide. A small bend in a FIBER will not significantly affect the propagation
characteristic and there for the losses in the FIBER. Howver,if the FIBER is bent with a radius of
curvature smaller than a certain value (usually about a centimeter), the propagating signal may suffer
significant bending losses. Two optical FIBERs are joined using either a connector or a splice. The
alignment of the cores of the two FIBERs is critical in both the situations, as even the minutest
misalignment or gap between the FIBERs may cause significant coupling losses.
PROCEDURE
Set Up
1. The interfaces used in the experiment are summarized in table 3.1. Indentify them on the OFT with
the help of the layout diagram (Fig 3.1)
S.No. Identification Name Function Location
850 nm
650 nm LED
7 P11 Analog IN
ANALOG IN
Coded data
The block diagram of the circuit used in this experiment is shown in Fig. 3.2 Set the jumpers
and switches as given in Table 3.2
2. Set the switch SW8 to the ANALOG position. Ensure that the shorting plug of the jumper JP2
is across the posts B & A1 (for PD1 selection). Remove the shorting plugs from coded data
shorting links S6 in the Manchester coder block and S26 in the Decoder & clock recovery
block.
Attenuation at 850 nm
3. take the 1m FIBER ansd set up an analog link using LED 1 in the optical Tx1 block and
detector PD1 in the optical Rx1 block [850 NM LINK]. Drive a 1V p-p 10 KHz sinusoidal
signal with zero d.c. at P11. Observe the signal at P31 on tha Oscilloscope. Use the BNC I/Os
for feeding in and observing signals as described in Experiment 1. Adjust the GAIN such that
the received signal is not saturated. Do not disturb the level of the signal at the function
generator or the gain setting throughout the rest of the experiment.
4. Note the peak value of the signal received at P31 and designate it V1. Replace the 1m FIBER
by the 3m FIBER between LED1 and PD1. Againnote the peak value of the received signal
and desidnated it as V3. If α is the attenuation in the FIBER and and are the exact length
of the 1m and 3m FIBERs in meters respectively, we have
( )
Where α is in nepers/m, and P1 and P3 are received Optical power with 1m and 3m FIBER
respectively.
Compute α‟in dB/m for 850 nm wavelength using α‟=4.343α where α is in nepers/m.
Attenuation at 650 nm
Now set up the 650nm link using LED2 ,detector PD1 and the one meter FIBER. Remove the shorting
plugs from S6 and S26 and feed in a TTL signal of 10 khz at post B of S6. Observe the signal at P31 on
the oscilloscope. Adjust the GAIN such that the received at P31 and designate it as V1. Replace the one
meter FIBER between LED2 and PD1. Again, without disturbing the GAIN, note the peek value of the
receive signal and designate it as V3. Compute α‟ in dB/m for a 650 nm wavelength using the expression
given in Step 4.
NOTE=>
The propagation losses in plastic FIBER are minimum in the region of 650 nm. The loss is much higher at
850nm. This is in contrast with glass- glass FIBER where the loss at 850 nm is much lover than that at
650nm.
As mentioned earlier, this loss is much lower than that plastic FIBER and as a value close to 3dB/Km at
850nm. Glass-glass FIBER has its lowest loss at 1550nm and its value is as low as .15dB/Km.
Bending loss :-
Setup the 850nm analog link using the 1m FIBER. Drive a 1 V p-p sinusoidal signal of 10khz with zero
dc at P11 and observe the received signal at P31 on the oscilloscope. Now bend the FIBER in loop as
show in fig 3.3. Reduce the diameter of the loop slowly and observe the reduction of receive signal at
P31. Keep reducing the diameter of the loop to about 2cm and plot the amplitude of the receive signal of
the versus the diameter of the loop. [Do not reduce the loop diameter to less than 1cm.]
Coupling loss :-
Connect one end of the 1m FIBER to LED2 and the other end to the detector PD1. Drive the LED with
a10khz TTL signal at post B of S6. Not the peek signal receive at P31 and designate it as V1 [ensure that
the GAIN is low to prevent saturation.] Now disconnect the FIBER from the detector. Take the 3m
FIBER and connect one end to the detector PD1. The optical signal can be seen emerging from the other
end of the 1m FIBER. Bring the free end of the two FIBER as close as possible and align them as shown
in fig3.4 using the FIBER alignment unit. Observe that the receive signal at P31 varies as the free ends of
the FIBERs are brought closer and moved apart. Note the receive signal level with the best possible
alignment and design it as V4. Using the attenuation constant value obtained in step 4, compute the
coupling loss associated with the above coupling of the two FIBER using
where α‟ is the attenuation constant in dB/m at 650nm and η is the coupling loss in dB.
Now move the two FIBERs a bit apart in the FIBER Alignment Unit and note the decrease in the output
voltage. What is the coupling loss now?
8. With the two ends of the FIBER are aligned the as close as possible, place drop of
glycerine/isopropylene through the hole provided in the FIBER Alignment Unit so as to cover the FIBER
ends. Note the received signal now increases . Compute the coupling loss in the presence of the index
matching fluid like glycerine. Why does the index matching fluid effect the coupling loss?
9. Now try aligning the two FIBERs without using the FIBER Alignment Unit. How wellare you able to
align the FIBERs ? Estimate the loss as the two FIBERs are offset laterally and also when the two
FIBERs are at an angle as showh in fig. 3.5.
RESULT :-in this experiment we have measured propagation loss of a FIBER using the two FIBER
method. The losses were measured both at 850nm and 650nm, and as expected loss in a plastic FIBER is
lower at 650nm.
MEASUREMENT OF NUMERICAL APERTURE
Numerical aperture of a FIBER is a measure of the acceptance angle of the light in the FIBER
Light which is launched at angles greater than this maximum acceptance angle does not get coupled to
propagating modes in the FIBER and therefore does not reach the receiver at the other end of the FIBER .
the numerical aperture is useful in the computation of optical power coupled from an optical source to the
FIBER, from the FIBER to a photodetector , and between two FIBERs.
PROCEDURE
Set up:
1. The interfaces used in the experiment are summarized in table 4.1. identify them on the OFT With
the help of the layout diagram (fig4.1) . the block diagram is shown in fig4.2 ensure that The shorting
plugs of Tx data shorting link S4, coded data shorting link S6, and Tx clock shorting link S5 in the
Manchester code block are in position . also ensure that the shortning plug of clock select jumper JP1
is across the posts B AND A1 . a TTL signal from the multiplexer should now be
driving LED 2 in optical Tx2 block . this experiment is best performed in a less illuminated room .
2. Ensure that the cut planes of the 1m plastic FIBER are perpendicular to the axis of the FIBER . if
Required , prepare 1m of plastic FIBER as per the instruction in appendix A.
3. Insert one end of the FIBER into the numerical aperture measurement unit as shown in fig4.3.
Adjust the FIBER such that its tip is 10mm from the screen .
4. Gently tighten the screw to hold the FIBER firmly in place .
5. Connect the other end of the FIBER to LED2 through the simplex connector . the FIBER will project
a Circular patch of red light onto the screen . let D be the distance between the FIBER tip and the
Screen . now measure the diameter of the circular patch of red light in two perpendicular Directions
(BCand DE IN FIG4.4) . the mean radius of the circular patch is given by :
X= (DE+BE)/4
Post B: input to
transmitters
Tx1/Tx2/electrical
Post B : Manchester
coder input
Post A: transmitter
clock
Post B: Manchester
coder input
1. Carefully measure the distance D between the tip of the FIBER and the illuminated screen (OA in fig
4.3) . the numerical aperture of the FIBER is given by :
NA=sinθ= x/√
2. repeat steps 3to 6 for different values of D . compute the average value of numerical aperture
RESULT
We have successfully measured the numerical aperture of the FIBER .
SAMPLE VIVA QUESTIONS
Q10.What is Modulation?
EQUIPMENTS:
OFT
INTRODUCTION:
This experiment is designed to familiarize the user with OFT. An analog fiber optics link is to be set up in
this experiment. The preparation of the optical fiber for coupling light into it and the coupling of the fiber
to the LED and detector are described in Appendix A. The LED used is an 850nm LED. The fiber is a
multimode fiber with a core diameter of 1000 micrometer. The detector is a simple PIN detector.
The LED optical power output is directly proportional to the current driving the LED. Similarly, for the
PIN diode , the current is proportional to amount of light falling on the detector. Thus , even though the
LED and the PIN diode is directly proportional to the driving current of the LED. This makes the optical
communication system a linear system.
PROCEDURE:
1. Set Up
The interfaces used in the experiment are summarized in Table1.1. Identify them on the OFT
with the help of the layout diagram (fig 1.1). The block diagram of the subsystem used in this
experiment is shown in fig 1.2 .
The 1m and 3m optical fiber provided with OFT are to be used. Ensure that the ends of the
fiber are clean and prepared as described in Appendix A.
2. Setting up the Analog Link
Set the switch SW8 to the ANALOG position. Switch the power on. The power on switch is
located at the top right hand corner.
S.NO Identification Name Function Location
2. P32 PD1 O/P PIN Detector signal monitoring post Optical Rx1
3. Feed a 1v p-p (peak to peak) sinusoidal signal at 1Khz [with zero d.c.], from a function generator , to
the ANALOG IN post P11 using the following procedure:
i)Connect a BNC-BNC cable from the function generator to the BNC socket I/O3.
ii)Connect the signal post I/O3 to the ANALOG IN post P11 using a patch cord.
With this , the signal from the function generator is fed through to the ANALOG IN signal post P11 from
the I/O3 BNC socket.
Connect one end of the 1m fiber to the LED Source LED1 in the optical Tx1 block. [See Appendix A for
the connection procedure.]
Observe the light output [red tinge] at the other end of the fiber.
Take care to keep the fiber at a distance from the eyes , and avoid direct eye contact with the infer-red
radiation as it can otherwise cause eye-damage.
Increase and decrease the amplitude level of the sinusoidal signal [from 0v to max 2v p-p].What happens
to the light output at the other end of the fiber?
i) Use a 3-plug patch cord to connect the signal post I/O3 to the required input post. Uses
the long half of the patch cord for this, and plug the center plug into I/O3 . (Here , use the
3-plug patch cord to connect signal post I/O3 to the ANALOG IN post P11 in(ii) above
,instead of a regular patch cord.)
ii) Connect a BNC-BNC cable between the BNC socket I/O2 and the oscilloscope.
iii) Connect signal posts I/O3 and I/O2 together using the short half of the 3-plug patch
cord.(The signal interface procedure is also given in Appendix H).
4.Feed a 5v p-p rectangular signal at 0.5 hz at p11. Observe the signal on the oscilloscope. Now observe
the intensity of the light output at the other end of the fibre.
Take care to keep the fibre well away from the eyes.
You will notice the light turning on and off (bright and dull ) as the driving signal observed on the
oscilloscope becomes positive and negative.
Now feed a 5v p-p sinusoidal signal at 0.5 hz at p11. Observe the variation in the brightness of the light
output at other end of the fibre as the driving signal varies sinusoidal.
5.connect the other end of the fibre to the detector PD1 in the optical Rx1 block.
6. Feed a sinusoidal wave of 1 khz ,1vp-p[with zero d.c.] from the function generator to p11.the PIN
detector output signal is available at P32 in the optical Rx1 block . vary the input signal level driving the
led and observe the received signsl at the PIN detector. Plot the received signal peak to peak amplitude
with respect to the input signal peak to peak amplitude . What is the relationship?
7. Repeat step 6 using the3m fibre instead of the 1m fibre . plot the received signal amplitude at the PIN
detector as afunction of the input signal amplitude.
The led output optical power is directly proportional to the current driving it.the PIN diode current is also
directly proportional to the optical power incident on it. Therefore,the relationship between the input
electrical signal and the output electrical signal is linear. Thus, the fibre optic link is alinear element.
Gain control :
The PIN detector signal at P32 is amplified , with amplifier gain controlled by the gain potentiometer as
shown in fig 1.3with a 3vp-p input signal at P11 as the gain potentiometer is varied.
Note that the signal at P31 gts clipped below 0v and above 3.5v as shown in fig 1.4.
10. Apply a squre wave or a triangular wave with 1vp-p and zero d.c. at the input of the transmitter [at
P11]. Vary the frequency and observe the output at P31.
Note the frequency at which the received signal starts getting distorted. Explain this using the bandwidth
obtained in the previous step.
RESULT:
We have measure the following
i)To set up analog fibre optic link.
ii) To modulate the light intensity
iii) The relationshi8p between the input signal driving the LED and the received signal at the PIN
diode
iv) The bandwidth that the link can support
SAMPLE VIVA QUESTIONS
Q4.Do you see any real serious problem in splicing together fiber cables from different
manufacturer ,as long as the cable is manufactured to the same specification?
Q6.Is it possible to send a forward and reverse signal along the fiber?
Q10.What are the problem with transmission of analog video signal over optical cable?
Q11.How does Extron handle analog video signal in its fiber optic product?
INTRODUCTION:
The OFT can be used to set up two fiber optic digital links, one at a wavelength of
650 nm and the other at 850 nm. LED1, in the Optical Tx1 block, is an 850 nm LED, and LED2, in the
Optical Tx2 block, is a 650 nm LED.
PD1, in the Optical Rx1 block, is a PIN detector which gives a current proportional to the optical power
falling on the detector. The received signal is amplified & converted to a TTL signal using a comparator.
The GAIN control plays a crucial role in this conversion.
PD2, in the optical Rx2 block, is another receiver which directly gives out a TTL signal. Both the PIN
detectors can receive 650 nm as well as 850 nm signals, though their sensitivity is lower at 650 nm.
PROCEDURE:
Set up:
1. The interfaces used in the experiment are summarized in Table given below. Identify them on the
OFT with the help of layout diagram shown in fig.1
The block diagram of the subsystems used in this experiment is shown in fig 2. Set the jumpers &
switches as given in Table to start the experiment.
8. Use the 1m fibre and insert it into LED2 . Observe the light output at the other end of the fibre
[keeping it away from the eye]. The output is bright red signal. This is because the light output at
around 650nm is in the visible range.
The other end of the fibre should now be inserted into PD1.
11. Change the shorting plug in jumper JP2 across the posts B & A2 [for selection of PD2 receiver].
Use the 1m fiber to connect LED2 & optical receiver PD2.
12. Feed a TTL signal of 20KHz at post B of S6 and observe the received TTL signal at post A S26.
Display both the signals on oscilloscope on channels 1 & 2 respectively [triggering with channel
1]. Note that the GAIN control does not play any role now in the operation of the link. The
receiver at PD2 is an integrated PIN diode & comparator that directly gives out a TTL signal.
Vary athe frequency & find the maximum bit rate that can be transmitted on this link.
13. Repeat steps 11 & 12 using 3m fibre.
14. Use the 1m fibre to connect LED1 & PD2. Feed a TTL signal at 20KHz at post B of S6 and
observe the received signal at post A of s26. Display both the signals on the oscilloscope. An
850nm TTL to direct digital link is obtained. Vary the frequency & find the maximum bit-rate
that can be transmitted on this link.
15. Repeat step 14 with 3m fibre.
Comparing responsitivity of PIN diode at 850 nm and 650nm:
16. Change the shorting plug in JP2 to connect A1 and B(for PD1 receiver selection). Using the 1m
fibre connect LED1 (850nm) and PD1. Let the GAIN control be at the minimum level. Feed a
20KHz TTL signal at post B of S6. Measure the peak to peak voltage at P31 & designated it as
V1.
17. Now connect the fibre between LED2 (650nm) & PD1 without changing any other setting.
Measure the peak to peak voltage at P31 & designated it as V2.
18. The factory setting for the light output at the end of 1m fibre for LED1 is 3db higher (two times)
than that of LED2. The PIN diode current “I” can be written as
I= ρP
Where P is the optical intensity of the light fallin on the detector & ρ is the responsitivity. The
voltage at P31 is directly proportional to the PIN diode current “I”. Using the results of steps 16
& 17, compare the responsitivity of the diode at 650 nm & 850 nm using the expression
V1/V2={ρ1P1/ρ2P2}
Where P1 is twice P2 (at factory setting) & ρ1and ρ2 are responsitivities of the diode at 850 nm
& 650 nm respectively.
RESULT: In this experiment we have learned to set up a digital links at 650 nm & 850 nm using the
available transmitters & receivers.
SAMPLE VIVA QUESTIONS
Q1.Explain in simple terms what the difference in fiber optics and traditional copper cable.
Q3.What are some of the uses of fiber optic cabling in the business world?
Q4.Will “intelligent building” uses fiber optics or copper wiring to carry voice/data/video
throughout the structure?
Q9.What is the advantage of transmitting video,audio and control signal on a single fiber?
Q10.How do you identify the type of dark fiber installed if it poorly documented?
THEORY:
LASER DIODE:
The laser diode is a laser where the active medium is a semiconductor similar to that found in a light-
emitting diode. The most common type of laser diode is formed from a p-n junction and powered by
injected electric current. The former devices are sometimes referred to as injection laser diodes to
distinguish them from optically pumped laser diodes.
The mounting post consists of a cylindrical post for mounting either the LD unit or the power meter for
measuring the optical power output of the laser diode. There is a screw provided at the base of the
mounting post. By loosening this screw, the height of the mounting base. The height of the mounting
base can be adjusted coarsely by rotating the mounting base itself. After adjusting the height of the
mounting base to the required height the upper lock nut is tightened to lock the position of the mounting
base. By using the lower lock nut fine adjustment of the height of the mounting base can be done. After
this the two lock nuts should be tightened and the screw at the base of the mounting post also should be
tightened. The two screws provided at the bottom of the mounting base are used to fix the LD unit and the
power meter. The LD and the power meter are having two screw holes at the bottom.
LD MODULE SETUP
650nm LD unit
The visible LD is placed in this unit with the collimating lens. The signal interface to
this unit is through a D9 connector provided at one side of this unit. Through this interface the driver
drives the current and controls the LD. Mount the LD unit onto the mounting post as explained in the
mounting post setup. The LD unit is provided with the collimating lens at the front side. This collimating
lens can be adjusted to focus the LD to a narrow beam of light.
1300nm LD unit
The 1300nm LD with ST interface is placed in this unit. The signal interface to this unit is
through a D9 connector provided at one side of this unit. Through this interface the driver drives the
current and controls the LD. Use the ST-ST patch cord supplied with this unit for power measurements
and for the link establishment.
1550nm LD unit
The 1550nm LD with SC interface is placed in this unit. The signal interface to this unit is
through a D9 connector provided at one side of this unit. Through this interface the driver drives the
current and controls the LD. Use the SC-ST patch cord supplied with this unit for power measurements
and for the link establishment.
LD driver
The LD driver can be used with either 650nm LD unit or 1300nm or 1550nm LD unit. It
has the basic circuit diagram as shown in fig.2.1 it has a multi turn potentiometer for varying the current
through LD, and a resistor for calculating the current. It has a 10x2 header at the top. A flat cable with
10x2header at one end and 9 pin D-type connector at the other end is used to connect the LD unit to this
driver.
LD modulator
The LD modulator can be used with either 650nm or 1300nm or 1550nm LD unit .it
has a 10x2 header at the top. A flat cable with 10x2header at one end and 9 pin D-type connector at the
other end is used to connect the LD unit to this modulator. It accepts TTL signals through BNC
connectors and modulates the LD current.
Caution laser radiation. Avoid direct eye or skin exposure to laser beam while setting up the
system or conducting experiments. Always view only the reflected rays in case of visible LDs
while setting up the system or while conducting experiments.
Caution Always keep the multi-turn pot in its minimum position while power on and power off
the unit to avoid damage to the LD.
Connect the power supply properly to the LD driver unit using the DIN-DIN cable provided with the
power supply. Turn the multi-turn pot to its minimum position. This ensures that high current is not
rushed in to the LD and damaging it. Connect the LD driver with the LD unit using the flat cable provided
with the LD driver.
Mount the LD unit onto the mounting post provided as explained in mounting post setup. Mount
the power meter also (with its ST adaptor) onto another post and keep them close to each other
and in line with each other.
Adjust the height of the power meter and the LD unit by adjusting the mounting base of the posts
as explained in mounting post set up in such a way that both of them are of same height and in
line with each other.
Keep the multi-turn pot in its minimum position. Turn on the LD driver. Adjust the multi-turn pot
in its maximum position slowly so that a bright spot coming out of the laser diode can be seen
falling somewhere on the meter.
Adjust the collimating lens of the LD unit such that the bright spot turns to a very small focused
bright spot. Adjust the mounting post of either power meter or the LD unit such that this spot
passes through the bare fiber adaptor of the meter and the meter reads a value of approximately -
20dBm. If the power is not reached the beam may not be focused for the following two reasons:
a. Both LD and power meter are not in a straight line- line of sight
b. The height are not adjusted so that the beam exactly passes through the ST adaptor and falls
onto the PD in the meter
5. Mounting posts for 650nm LD unit (or) ST-ST patch cord for 1300nm
1. Set up the LD driver module as said in the system set up procedure in the previous chapter and
turn it off as recommended.
2. Do not disturb the mechanical set up if the LD unit is 650nm until the experiments is over. Keep
the pot at the minimum position. Turn on the power to the module.
3. Measure the voltage V1across the resistor R1 (where R1 is 68ohms) and calculate the current ILD
through the LD which is given as
ILD =V1/R1
LED module
Introduction:
LED is the vital part in a fiber optic communication link. It forms the E-O section
of the transmitter in any link. In LED module the injection current through an 850nm/ 1300nm
fiber optics LED (DEPENDING ON THE MODEL) is varied and thereby its characteristics are
studied. The injection current through the LED is controlled using a multi-turn potentiometer,
which enables the user to have a control over it. The LED module “850nm PF” is shown in fig 2.1
and the LED module “1300nm GF-MM” is shown in module needs an external DC power supply
to operate. The LED module is provided with appropriate monitoring posts for taking the
necessary measurements.
3.2 Procedure
Connect the OFT power supply properly to the module using the DIN-DIN cable
provided with the power supply . turn the multi-turn pot to its minimum position and switch on
the module
1. Measure the voltage V1 across the resistor R1(180ohms for 850nm PF or 150ohms for
1300nm GF-mm) and calculate the current through the LED If which is given as
2. Now measure the voltage VLED across the LED and note down.
3. FOR 850nm PF MODULE
Remove the dummy adaptor cap from the power meter PD exposing the large
area photo-detector. Mount the bare fiber adaptor – plastic over the PD. Carefully hold the LED
source very close to the photo-detector window perpendicular to it couple all the optical power
from the LED the power meter. Now without changing any voltage or the potentiometer, measure
the optical power output P of the LED.
Po=10P/10
4. Turn the potentiometer clockwise direction slightly towards the maximum till you get a
convenient readingV1 and repeat the steps 1
5. Repeat step 4 and note down several readings till the potentiometer reaches its maximum
position and plot the graph for VLED VS If and If VSPO.
THE graphs should be similar to the one shown in fig. 3.1 and fig3.2 respectively for 850nm
PF module.
6. Calculate the E-O conversion efficiency „n‟ of the LED from the plotted graph „ If‟ VSPO
which is given as
n=Po/If
Connect one end of the ST-ST patch cord to the LED and the other end to the ST adaptor for
power meter. Repeat the optical power measurement as said in the previous steps but now
with a ST-ST patch cord connected between the LED modules and the power meter
Plot the optical power values and what do you see in the plots and what happens to the E-O conversion
efficient
SAMPLE VIVA QUESTIONS