DESIGN FOR TEST (DFT) - DFT Interview Questions
DESIGN FOR TEST (DFT) - DFT Interview Questions
More
Create Blog
Sign In
Unknown
DFT Interview Questions
View my complete profile
DFT Interview Questions(100 most commonly asked DFT Interview Questions ):
Blog Archive
▼
2016
(1)
▼
Scan Insertion:
▼
April
(1)
▼
3).what are the DRC Violations that u have faced during Scan Insertion and how did you fix those
?
4).what is test point Insertion? Can you tell and explain one TestPoint Insertion scenario?
5).Some Questions on design complexity like what was the gate and flops count of yours recent
project?
13).Take three scan flop and stitch it and explain the scan operation?
14).How you will decide the number of scan chains for your core?
19).consider two flop of .2sec and 0.3 sec latency how do you connect the flops in scan chain?
22).How you will decide the compression ratio for the core?
23).what all information you will ask from designer for smooth scan insertion?
25).Draw and explain the Structure of the compressor and decompressor circuit?
29).what all things you need to take care while/before inserting on chip clock controller circuit?
30).In which path we insert the lockkup latch, data or clock path?
31).How you will resolve the combinational feedback loop issue in design if present?
32).why we don't connect the capture flop's clock to the lockup latch?
35).why we need scan? or why we convert normal D flip flops to scan flops in design?
36).what work around you can do if you don't have scan equivalent for some flops in design while
scan insertion?
ATPG:
37).Did you worked on Coverage Analysis? How did you improved your Coverage?
40).what are the input files required for scan insertion and ATPG and what all output files we get
after completing scan insertion and ATPG?
42).How many faults sites are there for a 2 input AND Gate?
43).what is the difference between transition and path delay fault model?
47).For a given fault coverage the number of patterns for TFT is more than the patterns
generated for Stuck-at-faults. Why so?
dftexposuretoall.blogspot.com/2016/04/dft-interview-questions.html 1/3
12/16/21, 5:04 PM DESIGN FOR TEST (DFT): DFT Interview Questions
51).what is the difference between launch on capture(LOC) and launch on shift(LOS)?
52).which one is widely used in industry? which one is better LOS or LOC?
56).Have you ever seen condition statements in spf and how they work?
57).If we have cover all transition faults along a path(critical) already then should we check the
path delay also for that path?
60).what are parallel patterns how they work explain with the help of a scan chain?
61).what are the DRCs that can result in low test coverage?
63).How the test data valume and tester time reduction happens with compression?
67).How the IDDQ test vectors is different from stuck at test vectors?
Simulation:
69).How you will timing simulation debugging for uncompessed and compressed chains?
73).what violation will occur if step and hold time not maintain properly?
77).if the clock skew is more than half clock cycle then how you will avoid the hold violation?
Miscellaneous:
78).why we do MBIST Insertion and verification? Which tool you are using?
81).How you do extest using P1500 and tell what happens in its wrapper cell?
84).what is neighborhood and coupling faults how these faults are different from each other?
90).what is JTAG? why it is used? How I/O testing happens with JTAG?
93).For an INOUT port how many boundary scan cells you require?
94).Draw and explain the TAP state machine with tms values?
98).How the 'mode' signal(for boundary scan cell) gets generated in JTAG?
Posted by
Unknown
at
22:59
Location:
Bengaluru, Karnataka 560001, India
7 comments:
Reply
Reply
dftexposuretoall.blogspot.com/2016/04/dft-interview-questions.html 2/3