Assignment 1 Poovika.t
Assignment 1 Poovika.t
VERIFICATION TESTING
It verifies the correctness of the design. It tests the correctness of manufactured device.
Done by simulation or formal methods, Two step process test generation and test
hardware emulation. application.
Performed only once. Applied to manufactured device.
Responsible for quality of design Responsible for quality of device.
Functional vectors are more. Test vectors are less
Functional coverage is less. Test coverage is more.
DPPM can be only truly measured when customers return defective parts.
5. Who all are the major DFT tool vendors and what all are the names of DFT tools(Vendor
wise)?
Functional testing focuses on testing all The idea is that if the net list is correct, and
possible functional states, given the structural testing has confirmed the correct
complexity of VLSI designs this is assembly of the circuit elements, then the circuit
almost impossible because of the infinite should be functioning correctly.
possible combinations.
Test vectors for functional testing focus One benefit of the Structural paradigm is that test
on the entire system as a black box, generation can focus on testing a limited number of
without giving any consideration to the relatively simple circuit elements rather than having
internal structure. to deal with an exponentially exploding multiplicity
of functional states and state transitions.
Architecture design. This stage involves analysis of the project requirements, problem
decomposition and functional simulation (if applicable). The output of this stage is a document
which describes the future device architecture, structural blocks, their functions and interfaces.
HDL design entry. The device is described in a formal hardware description language (HDL).
The most common HDLs are VHDL and Verilog.
Test environment design. This stage involves writing of test environments and behavioral
models (when applicable). They are later used to ensure that the HDL description of a device is
correct.
Behavioural simulation. This is an important stage that checks HDL correctness by comparing
outputs of the HDL model and the behavioural model (being put in the same conditions).
Synthesis. This stage involves conversion of an HDL description to a so-called netlist which is
basically a formally written digital circuit schematic. Synthesis is performed by a special software
called synthesizer. For an HDL code that is correctly written and simulated, synthesis shouldn't
be any problem. However, synthesis can reveal some problems and potential errors that can't be
found using behavioral simulation, so, an FPGA engineer should pay attention to warnings
produced by the synthesizer.
Implementation. A synthesizer-generated netlist is mapped onto particular device's internal
structure. The main phase of the implementation stage is place and route or layout, which
allocates FPGA resources (such as logic cells and connection wires). Then these configuration
data are written to a special file by a program called bit stream generator.
Timing analysis. During the timing analysis special software checks whether the implemented
design satisfies timing constraints (such as clock frequency) specified by the user
10. Explain ASIC design flow?
ASIC DESIGN FLOW
Specification:
Verification:
Insert various DFT features to perform device testing using Automated Test Equipment
(ATE) and system level tests
Scan enabled flip-flops and scan chains
Automatic Test Pattern Generation (ATPG) tools generate test vectors to perform logic
and parametric testing
Built-in Self Test Logic: Based on LFSR (random-patterns) and MISR (signature)
(LBIST)
Memory: Implements various memory testing (MBIST)
Boundary-Scan/JTAG Enables board/system level testing
More on DFT and test insertion later
Floor planning /Placement/Routing:
Manually place major modules in the chip depending on connections with other modules
Standard cell rows are defined next and the gates are placed
No routing channels between rows in newer technologies
Timing driven placement tries to minimize delay on critical paths
Routing
Route special nets
Power, Ground
Clock tree synthesis/ routing;
minimize skew, Insert buffers
Global and detailed routing of signal nets
TAPEOUT:
GATES
(AND, OR, NAND, NOR, EX-OR, EX-NOR,NOT)
It is tested using Stuck at, transition fault modelling.
COMBINATIONAL CIRCUITS
(MUX)
It is tested using Stuck at and transition fault modelling.
SEQUENTIAL CIRCUITS
Latches It is tested
D latch using Stuck at
Flip Flops (D FLIP FLOP) and transition
REGISTER fault
SHIFT REGISTER modelling.
Serial in, Serial out
BIDI (Bidirectional input output port)
BUFFER
TRISTATE BUFFER
CLOCK
(PLL, CLOCK DIVIDER,OCC)
14. DFT can be done in which all phases of ASIC flow?
Scan insertion
Compression decompression
Memory Built in self test (MBIST)
Logic Built in self test (LBIST)
Automatic test pattern generation(ATPG)
Boundary scan
Simulation
Post silicon