0% found this document useful (0 votes)
82 views273 pages

Overview of Electronics Lithography and Materials

This document provides an introduction and frame of reference for the MONA project, which aims to establish a roadmap for the merging of photonics and nanotechnologies. It defines optics as the field of science related to light generation, transmission, manipulation, detection and utilization. Nanotechnology is defined as technologies dealing with structures between 100nm to atomic scales. The report sets out to describe how nanotechnologies can improve and enable new applications in optics over the next 5-10 years, considering technologies, fabrication processes, materials and applications. It provides an overview of current photonics production and nanostructuring technologies as a starting point for the project's subsequent work packages to build upon.

Uploaded by

Ivar Kuusik
Copyright
© © All Rights Reserved
We take content rights seriously. If you suspect this is your content, claim it here.
Available Formats
Download as PDF, TXT or read online on Scribd
0% found this document useful (0 votes)
82 views273 pages

Overview of Electronics Lithography and Materials

This document provides an introduction and frame of reference for the MONA project, which aims to establish a roadmap for the merging of photonics and nanotechnologies. It defines optics as the field of science related to light generation, transmission, manipulation, detection and utilization. Nanotechnology is defined as technologies dealing with structures between 100nm to atomic scales. The report sets out to describe how nanotechnologies can improve and enable new applications in optics over the next 5-10 years, considering technologies, fabrication processes, materials and applications. It provides an overview of current photonics production and nanostructuring technologies as a starting point for the project's subsequent work packages to build upon.

Uploaded by

Ivar Kuusik
Copyright
© © All Rights Reserved
We take content rights seriously. If you suspect this is your content, claim it here.
Available Formats
Download as PDF, TXT or read online on Scribd
You are on page 1/ 273

IST-017255 MONA Deliverable D1.

1 (M4)

MONA
Merging Optics and Nanotechnologies

Frame of Reference
Final Report of Work Package 1

by

Marita Gierlings, Leif Brand,


Günter Reuscher, Andreas Hoffknecht, Dirk Holtmannspötter
(Future Technologies Division of
VDI Technologiezentrum GmbH)

with contributions from

Krassimir Krastev (Optics Valley),


Martine Keim-Paray and Thomas Pearsall (EPIC)

http://www.ist-mona.org

30/11/2005 Dissemination level : Public Page 1/273


IST-017255 MONA Deliverable D1.1 (M4)

This report arose within the specific support action MONA “Merging Optics and Nanotech-
nologies”, supported by the European Commission under
Contract number: 017255.
Priority 2&3 IST-NMP
Priority Component : IST-NMP-3 “Materials, Equipment and Processes for Production of
Nano-Photonic and Nano-Electronic Devices”

Düsseldorf, November 2005

The authors are responsible for the content. The expressed views do not necessarily reflect
those of the European Commission (EC).

30/11/2005 Dissemination level : Public Page 2/273


IST-017255 MONA Deliverable D1.1 (M4)

Future Technologies Division


VDI Technologiezentrum GmbH

Graf-Recke-Straße 84
40239 Düsseldorf

www.futuretechnologiesdivision.de

The VDI Technologiezentrum GmbH operates ander contract to and with the support of
The Federal Ministry of Education and Research (BMBF).

30/11/2005 Dissemination level : Public Page 3/273


IST-017255 MONA Deliverable D1.1 (M4)

Table of Contents
1 Introduction ...................................................................................................................... 5

2 Current Production Technologies in Photonics............................................................. 7

3 Nanostructuring Technologies ...................................................................................... 13


3.1 Top-Down Technologies ........................................................................................ 13
3.1.1 Lithography ...................................................................................................... 14
3.1.2 Nanoimprint lithography and soft lithography................................................. 44
3.1.3 Etching techniques ........................................................................................... 51
3.2 Bottom-Up Technologies........................................................................................ 55
3.2.1 Thin Film Technologies and Epitaxy ............................................................... 55
3.2.2 Self Assembly .................................................................................................. 73
3.2.3 Printing Technologies ...................................................................................... 83
3.3 Summary Table on Nanostructuring Technologies ............................................ 89

4 Photonics ......................................................................................................................... 92
4.1 New Approaches in Nanophotonics ...................................................................... 92
4.1.1 Near-Field Optics ............................................................................................. 92
4.1.2 Quantum-confined materials .......................................................................... 100
4.1.3 Plasmonics...................................................................................................... 114
4.1.4 Photonic crystals ............................................................................................ 123
4.2 Nanotechnology in Photonic Devices .................................................................. 133
4.2.1 Laser Diodes................................................................................................... 133
4.2.2 LEDs............................................................................................................... 143
4.2.3 Sensors ........................................................................................................... 155
4.2.4 Displays.......................................................................................................... 172
4.2.5 Photovoltaics .................................................................................................. 192
4.2.6 Single-Photon-Systems .................................................................................. 206
4.2.7 Silicon Photonics and Further Topics ............................................................ 214

5 Summary and Conclusion - Key Issues ...................................................................... 216

6 Appendix ....................................................................................................................... 217


6.1 Equipment............................................................................................................. 217
6.1.1 MOCVD equipment ....................................................................................... 217
6.1.2 PECVD equipment ......................................................................................... 226
6.2 Projects and Networks of Relevance .................................................................. 231
6.2.1 Projects ........................................................................................................... 231
6.2.2 Networks ........................................................................................................ 253

7 Glossary......................................................................................................................... 271

30/11/2005 Dissemination level : Public Page 4/273


IST-017255 MONA Deliverable D1.1 (M4)

1 Introduction
The name of the project MONA is the acronym for “Merging Optics and Nanotechnologies”. The final
goal of this project is to establish a roadmap for photonics and nanotechnologies, considering tech-
nologies, fabrications processes, applications as well as research needs for the future. Correspondingly
this project is concerned with the following questions: How will the field of optics be affected by the
emergence of various nanotechnologies? Which opportunities for optics arise from nanotechnology?
How will the processes and the equipment, the materials and the technologies change with nanotech-
nologies entering the production process in photonics? What are the key issues related to the fabrica-
tion of nanophotonic devices ?

The goal of this report is to set the starting point of the project MONA regarding its content. The re-
port serves to provide a joint frame of reference for the subsequent work packages. In order to set this
frame, we first need descriptions of both optics and nanotechnology.

For the purpose of this report we will follow the definition of optics as given in the report “Harnessing
Light”: “Optics is the field of science and engineering encompassing the physical phenomena and
technologies associated with the generation, transmission, manipulation, detection, and utilization of
light.”1

Nanotechnology on the other hand can be characterized as a technology concerned with the produc-
tion, study and utilization of lateral structures, layers, molecular units, inner boundary layers and sur-
faces with critical dimensions or production tolerances that extend from about 100 nanometers down
to atomic orders of magnitude.2

If we think about the possible relation of Optics and Nanotechnologies, two directions come to mind -
optics enabling nanotechnologies and vice versa nanotechnologies enabling optics. In this report we
take exclusively the perspective from the optics point of view, which can then be summarised by the
question: How will nanotechnologies improve and enable the field of optics in the future?

Consequently we define: Optics and Nanotechnologies merge, where lateral structures, layers, molecu-
lar units, inner boundary layers and surfaces with critical dimensions or production tolerances that
extend from about 100 nanometers down to atomic orders of magnitude are produced, studied and
utilized for the generation, transmission, manipulation, detection, and utilization of light.

Speaking of the future it needs to be clarified, that the time horizon for the project MONA has been
agreed upon to be around 5 to 10 years from now.

The potential for volume production employing nanotechnologies in optics is a key issue within
MONA. For the purpose of this report this issue has been interpreted in the following pragmatic way:
The potential for volume production has been considered to be present either if a nanotechnology is
already in use or is specifically developed for volume production (as in the case of optical lithography
and the candidates for next generation lithography). Or else if the nanotechnology has been used or is
discussed to be used for a photonic device that is currently in volume production or is expected to be

1
Committee on Optical Science and Engineering: „Harnessing Light - Optical Science and Engineering for the
21st Century“, National Academy Press, Washington, D.C. 1998.
2
Frank, A: “Nanotechnology” Journal of Physics E. Scientific Instruments, Vol. 20, p. 1442-1451 (1987).

30/11/2005 Dissemination level : Public Page 5/273


IST-017255 MONA Deliverable D1.1 (M4)

in volume production in the future (such as LEDs for lighting or silicon photonics). The list of
photonic devices in volume production contains: laser diodes, light emitting diodes (LEDs), (image)
sensors, displays, and photovoltaics. A more detailed assessment of the potential for volume produc-
tion of photonic devices of a nanotechnology would need to consider for instance the throughput and
yield, the cost and the compatibility with existing processes and equipment. All of this for both for
what is possible today and what reasonably appears to be achievable within the time horizon of 5 to 10
years. Given the sheer number of nanotechnologies and the number of photonic devices covered in this
report such a detailed assessment appears more suitable for the subsequent work packages. These may
either carry out such an assessment starting from individual nanotechnologies or derive target values
starting from photonics devices and associated cost and performance targets. The report does contain
relevant starting information for this assessment in the form of summary tables at the end of most of
the main sections.

From this point of this report may be seen as a monitoring report. The wide scientific and technologi-
cal areas of optics and nanotechnologies have been screened for areas, which exhibit “merging” in the
sense described above. This screening has been rather wide in order to not exclude a topic prema-
turely. The presentation has been chosen to be explanatory in such a way as to allow the use of this
report for educational purposes, so that students or researchers entering the field may use it for a first
orientation.

There is one interesting line of thinking that has not been followed in this report. Merging of Optics
and Nanotechnologies could also happen on the system level or the system integration level. One
could think of generic nanoelectronics or nanosensor devices that could be integrated into photonic
devices. One example along this line is intelligent lighting, where nanosensors scan the environment,
while nanoelectronics processes the environmental data in order to drive the light source. Such an
integrated lighting device, could react to changes in the daylight and adjust its brightness to its sur-
roundings. Such a device would clearly be a form of nanotechnology enabled optics and would also be
a candidate for volume production. Other examples along this line of thinking could easily be found.
But an attempt to describe nanosensors alone in any systematic way, was found to be beyond the scope
of the project MONA and this report.

The report is organised as follows. We begin with a short chapter on current production technologies
in photonics, where the main production steps for the most common photonic devices are presented in
tabular form. Chapter 3 is devoted to nanostructuring technologies, which are grouped into top-down
and bottom-up technologies. Chapter 4 is then deals with photonics. The first section (4.1) presents
those new approaches that are offered by nanophotonics. The second section (4.2) then discusses how
the most common photonic devices could be affected by nanotechnologies. The reports ends a short
summary and conclusions. The annex presents supplementary information on photonics production
equipment as well as on project and networks of relevance to MONA.

30/11/2005 Dissemination level : Public Page 6/273


IST-017255 MONA Deliverable D1.1 (M4)

2 Current Production Technologies in Photonics3


This chapter describes the main production steps for the most common photonic devices in tabular
form.

Table: Laser Diodes

Device Application Materials Manufacturing Equipment Remark


Technology (see
chapter 6.1)
Laser diode
(LD)
blue LD optical storage GaN MBE,
devices InGaN/AlGaN MOCVD, Raised ch. 6.1.1
substrates: Pressure
Silicon Carbide SiC (RP)MOCVD
Sapphire Al2O3
GaN
red LD optical storage AlGaInP MOCVD ch. 6.1.1
devices GaAs
IR LD optical storage InP
GaAs
telecommuni- InP
cation GaAs
pumps for InP
solid state GaAs
lasers
medial appli-
cations (high
power LDs
and solid-state
lasers)
printing GaAs/GaInP Low Pressure
GaN (LP)MOCVD ch. 6.1.1
AlGaAs

3
This chapter contains contributions by Krassimir Krastev; Opticsvalley.
30/11/2005 Dissemination level : Public Page 7/273
IST-017255 MONA Deliverable D1.1 (M4)

Table: LEDs
Device Application Materials Manufacturing Technol- Equip Remark
ogy ment
(see
chap-
ter
6.1)
LED consumer
electronics
UV, blue AlInGaN MOCVD, 6.1.1 further develop-
LED substrate: ment
Al2O3
blue LED InGaN MOCVD 6.1.1
ZnSe bulk crystal growth method:
Seeded Physical Vapor
Transport (SPVT),
MBE
SiC improved CVD
green LED GaP MOCVD 6.1.1
GaN
GaAlP
yellow LED InGaAsP MOCVD 6.1.1 further develop-
ment
red, orange, GaAsP VPE
yellow
red InGaAlP MOCVD 6.1.1
red, IR GaAlAs Liquid Phase Epitaxy (LPE)
white LED Solid State InGaN + MOCVD + solution based 6.1.1 -InGaN technol-
(= blue LED Lighting; phosphor methods ogy results in
+ phosphor backlight units superior reliabil-
coating) for LCD dis- ity and color
plays integrity
-brightness and
color purity de-
pend on amount
of phosphor
Organic
LED
monochro- - substrate preparation in -inkjet printing
matic OLED clean room, glove box under develop-
(polymer- -ITO- - sputter deposition ment (full-color
based) electrode displays)
-lifetime of emit-
ting polymers,
especially blue
-polymers π-conjugated -spin-coating emitting poly-
(conducting) mers has to be
polymers; optimized
PV (poly(p-
phenylene
vinylene)),
dioctyloxy-
PPV (DOO-
PPV) or poly
(1-phenyl-2-p-
n-
butyl-
phenylacety-

30/11/2005 Dissemination level : Public Page 8/273


IST-017255 MONA Deliverable D1.1 (M4)

lene)
(PDPA-nBu)
-metal- - vacuum evaporation
electrode

Table: Sensors

Device Applica- Materials Manufac- Equipment Remark


tion turing (see
Technol- chapter
ogy 6.1)
Photodi- sensor appli-
odes (PD) cations
Pin InGaAs/InP MOCVD ch. 6.1.1
Avalanche GaAs MOCVD
PD
InP NC
InGaAs MOCVD
substrate:
InP
InAlAs MOCVD
Ge
CCD digital cam- Silicon 1x lithogra- large area CCD
era (Si-based phy, (Intel donation)
photodiodes /
photogates)

5x lithogra- wafer stepper (Hewlett Packard do-


phy nation)
Polysilicon, dry etching
SiN
Oxidation
and anneal-
ing furnaces
Polysilicon, thin film
SiN, deposition
SiO2 techniques
furnaces
Al, SiO2, sputter depo-
ITO sition
CMOS digital cam- III-V semi- MOCVD semiconduc- process adaptation
era; cinema- conductors; laser ablation tor fab lines required
tography GaAs and
InP (electric
circuitry)
substrates:
silicon-based
photodiodes /
photogates

30/11/2005 Dissemination level : Public Page 9/273


IST-017255 MONA Deliverable D1.1 (M4)

Table: Displays

Device component Materials Manufacturing Equipment Remarks


Technology (see
chapter 6.1)
Active ma- - reduced viewing
trix (AM) angle
LCD - backlight unit
required
substrate Si, glass -Cleaning proc-
esses;

Thin-Film- Inverse-
Transistor- staggered-type
(TFT) amorphous active-matrix
(a-)Si switching ele-
polycrystalline ment
(p-)Si, and sin-
gle crystal sili-
con (x-Si).
Front panel transparent ITO (indium tin sputter deposition;
electrode oxide PVD
Photoresist spin coating + spin coater +
baking + photo- furnace
lithography
+ (plasma and/or
wet) etching
black matrix Chrome sputter deposition
material
(chrome)
R, G, B color phosphors spin coating
filters (800- (inkjet printing
2000 nm) for repairing indi-
vidual pixels)
alignment roll coating (R2R) roll coater + lateral structures:
layer for - rubbing ma- on micron scale;
alignment of chine (mechani- thickness:
liquid crystals cal) 40-100nm thick
Rear panel TFT: gate Ta, Al, PVD + photo- 6.1.2
metal Al+barrier, lithography + stepper
Al alloy (Zr, Cu, etching
Nd, Y), MoTa
TFT: dielec- SiO2, SiN PECVD 6.1.2
tric
TFT: channel a-Si PECVD 6.1.2
TFT: gate stop SiNx PECVD + lithog- 6.1.2
raphy +selective stepper
etching (SiO2, a-
Si; SiNx)
ohmic contact doped Si (n- PECVD + lithog- 6.1.2
type: AS, P) raphy +etching stepper
electrode ITO Sputter + lithog- evaporation
raphy + etching chamber

30/11/2005 Dissemination level : Public Page 10/273


IST-017255 MONA Deliverable D1.1 (M4)

stepper
source / drain Al, Al+barrier, PVD + lithogra- evaporation
metal Al alloy (Ti, phy + etching chamber
Mo, Cr, W,
MoTa, MoW)
passivation SiNx PECVD 6.1.2
layer
alignment Polyimide roll coating + roll coater +
layer rubbing rubbing ma-
chine (mechani-
cal)
Seal epoxy or acrylic screen printing+ micron
resin curing scale
spacers Divinylbenzene- spraying tech-
type resin or niques
silica

liquid crystal Chemical synthe- + assembly functional layer


LC sis of LCs machine
(typically -vacuum-injection -limited viewing
(super) into micronscale angle,
twisted gap between -not self-
nematic LCs: front-panel and emissive,
TN or STN) rear panel -relaxation time
bistable, trist- research
able TN LCs
to save power
Passive electrode ITO PECVD 6.1.2.
Matrix (PM)
LCD display
optional: pas- based on SiOx CVD techniques poly-silicon -electric insula-
sivation layer deposition ma- tion of ITO
chine + tube
furnace
alignment Polyimide roll coating + roll coater +
layer rubbing rubbing ma-
chine (mechani-
cal)

Plasma- substrate special glasses - UV emission in


Display gas filled cells,
each forming a
sub-pixel
- down conver-
sion of UV light
in phosphor
-High power
consumption
ITO and metal ITO, metal sputtering and Sputter-
film electrodes other PVD chamber, evapo-
+ subsequent ration chamber
photolithography +stepper
dielectric layer screen printing (+ -high transpar-
(20-40 nm) drying + firing) ency
-good thermal
expansion
MgO layer MgO E-beam evapora- expensive step,
(500 nm) tion but crucial, since

30/11/2005 Dissemination level : Public Page 11/273


IST-017255 MONA Deliverable D1.1 (M4)

(to protect the (ion plating, reac- operating voltage


dielectric tive sputtering) and dielectric
from sputter- characteristics are
ing and to related to MgO
provide large properties
secondary
electron
emission un-
der ion im-
pact)

Color phos- Screen printing


phors
Barrier ribs Sand blasting; Serve for cell
screen printing separation
(dimensions on
micronscale)
thickness of ribs:
50 μm
pitch width:
100-300 μm
height of ribs:
100-200 μm
gases a rare gas mix- in a glow regime
ture (Xe–Ne (pressure typi-
or Xe–Ne–He) cally 500 Torr,
100μm gap).
seal + assembly
machine

Table: Photovoltaics

Device Compo- Manufacturing Power Effi- Remarks Chap-


nent Technology ciency ter
Inorg. Solar solar - High temperatures 400-
Cells module 1400°C,
- vacuum condition
+lithographical steps + doping

Homo- single-cryst. preparation from molten state 24.4 % (lab) costly and com- 4.2.5.1
junction Si under well controlled environ- (13-18) % plex fabrication
mental conditions (mass prod.) life-time: 25
+ doping years
poly- solidification of liquid silicon 19.8 % (lab) in-line manufac- 4.2.5.1
crystalline or (11-14) % turing process
Si PECVD + wet chem. etching (mass prod.) av. life: 25 years
+ doping
amorphous PECVD 12.7 % (lab) service lifetime: 4.2.5.1
Si +doping (5-8 )% (mass 5-6 years
prod.)
Compound Al- MOCVD 24,2 % 4.2.5.1
Semicon- GaAs/GaA (AM1.5)
ductors s 22 % (AM0)
+ DBR

30/11/2005 Dissemination level : Public Page 12/273


IST-017255 MONA Deliverable D1.1 (M4)

3 Nanostructuring Technologies
There exists a variety of different techniques for the generation of nanostructures. Lateral nanostruc-
tures or patterned thin films are manufactured traditionally using “top-down” technologies, such as
different forms of lithography or printing and moulding techniques. For vertically layered structures,
i.e. nanoscaled thin films, different classical deposition methods are used, such as e.g. various different
Physical Vapor Deposition (PVD) and Chemical Vapor Deposition (CVD) methods. PVD and CVD
are “bottom up” technologies. Another bottom-up technique is the formation of uni-directional layers
by spontaneous organization of molecules on a solid surface, so called Self-Assembled Monolayers
(SAMs). In addition there is a growing interest in the formation of (lateral) periodic nanostructures by
self assembly which may further serve as building blocks for artificial nanostructures. Thus, self-
assembly can be used to form both lateral and vertical nanostructures.
In either case it has to be stressed, that the ongoing development and improvement of suitable metrol-
ogy techniques is essential to check the quality of the structures fabricated. Scatterometry (Spectro-
scopic Ellipsometry, Reflectometry in different configurations) has rapidly emerged as an optical me-
trology technique, in addition to the traditionally used SEM (Scanning Electron Microscopy), [Allgair,
2005][Gise, 2005]. Another obvious trend is the necessity to further develop computational techniques
for accurately modelling the interaction of light with nanostructures in order to enable cost effective
mass production.
The remainder of this chapter provides various nanostructuring technologies employed for the genera-
tion of lateral and vertical structures.

3.1 Top-Down Technologies


Patterning of thin films / lithography
The most relevant technical processes for the industrial production of nano-patterned structures on
solid surfaces are lithography techniques. One possibility to transfer the pattern of a master / mask to a
wafer, which is covered with a thin film photo resist material, is to expose the resist to electromagnetic
radiation or particle beams (ions or electrons). The minimum structure size achievable in projection
lithography depends on the wavelength of the radiation used. Scanning electron / ion beam lithography
is another high-resolution lithographical method, which does not need a mask. The particle beam is
scanned over the coated wafer simply drawing the intended pattern into the resist material. Projection
lithographical methods are more suitable for volume production while direct-write scanning methods
using particle beams are employed in order to generate high precision masks.
Printing and moulding / soft lithography
Due to the high costs of mask production, nanoimprint technology and so called soft-lithography be-
come increasingly important for the production of sub-100 nm nanostructures. In nanoimprint hard
SiO2 stamps are used, while in soft lithography moulds or stamps are used, which are made of an or-
ganic (i.e. soft) material. The topography of the stamps defines the desired pattern. The stamps are
brought into contact with a wafer which is coated with an elastomeric film. The pattern is embossed
into the elastomeric film under pressure. Before removing the stamp, the patterned film is hardened by
applying heat or by exposing it to UV light.
Etching technologies
Etching technologies are essential for transferring a desired pattern from the developed resist material
to the underlying material to be patterned. The pattern is defined in the resist material after exposure to
a light or particle beam and subsequent development of the resist. This pattern then serves as a mask

30/11/2005 Dissemination level : Public Page 13/273


IST-017255 MONA Deliverable D1.1 (M4)

during the etching process. Energetic ions are used to selectively remove the material in the areas
which are not covered by the predefined pattern in the resist. Alternatively etching methods may be
used to pattern highly anisotropic materials, such as some single crystalline surfaces.

3.1.1 Lithography

3.1.1.1 Optical lithography


In the semiconductor industry optical projection lithography has been used from the late 1960s to pro-
ject the pattern defined by the mask onto the wafer. The reduction of the size of the printed images
from 2 microns, twenty years ago, down to the sub 100 nm range nowadays enables the integrated
circuit industry to move towards greater packaging density and higher speed electronics. The reduc-
tion process of feature sizes and the corresponding technological development is described in the In-
ternational Technology Roadmap for Semiconductors (ITRS 2003, updated 2004). Therein so called
technology nodes have been defined. These nodes denote the feature size which has to be manufactur-
able in volume production at a fixed date (year of production). This feature size is defined to be a half
pitch, i.e. half of a dense pair of lines and spaces. For example, the 32 nm node should be achieved by
2009, which means the technological development should enable semiconductor industry to produce
chips with a half pitch of 32 nm by 2009. If the term “feature size” is used in the following text the
half pitch is meant.
The state of the art technology for volume production of nanostructured devices in semiconductor
industry is optical projection lithography using deep ultra violet (DUV) light in conjunction with some
sophisticated resolution enhancement techniques (RETs). 90 nm features and below can be generated
using 193 nm light from ArF excimer laser sources. It was initially aimed to increase the achievable
resolution by further reducing the wavelength down to 157 nm (F2 laser) and thereafter to the wave-
length of about 13 nm EUV (extreme ultra violet or soft x-rays). But the development of 157 nm tech-
nology has slowed down facing problems with the CaF2 lens material and in finding suitable resists
[Lammers, 2004]. Instead, the 193 nm technology is being further exploited by using sophisticated
optical tricks in order to further reduce structure size. There is a fast progress in the development of
immersion lithography, which enables further reductions in feature size by increasing the numerical
aperture by introducing a liquid (refractive index >1) between the projection lens and the wafer, which
replaces the air (with a refractive index=1). Immersion lithography is being considered as a potential
‘next generation lithography’ for optical imaging at the 45nm device node and possibly beyond using
high-refractive index media (in “Hyper-NA” immersion lithography) instead of water. The longer term
future technology, being under development now, is the extreme ultraviolet (EUV) lithography (32 nm
node and below). EUVL requires a lot of technical efforts and new technical designs since EUV radia-
tion is absorbed by most materials. Therefore no transmission lenses can be used for the projection of
a pattern onto a wafer. Instead suitable mirror optics has to be developed. Another problem is the de-
velopment of sufficiently powerful EUV sources. Synchrotron sources provide enough power but they
are not feasible for industry, while plasma discharge sources or laser induced plasma sources are still
under development. The next sections give a description of various techniques in optical lithography
including the basic set-up and refinements of its components serving to further reduce feature size.
The first sub-chapter starts with optical projection lithography in the first sub-chapter, followed by a
description of immersion lithography, which can be considered as an extension of optical projection
lithography. Thereafter follows a sub-chapter treating interferometric/holographic lithography. The
latter may have a potential for the fabrication of photonic crystals. Thereafter mask-less optical lithog-
raphy will be treated.

30/11/2005 Dissemination level : Public Page 14/273


IST-017255 MONA Deliverable D1.1 (M4)

3.1.1.1.1 Optical projection lithography


The most recent developments in projection optical lithography include the transition to the short
wavelengths of 193 nm and 157 nm, the movement toward ultrahigh numerical apertures facilitated by
liquid immersion (see next section), and incorporation of a range of resolution-enhancement tech-
niques (RET) such as optical proximity correction (OPC) and
phase-shifting masks [Rothschild, 2005].
A full lithographic system includes the exposure tool, a so called
stepper or scanner, the mask and the processing steps (such as
etching and lift-off processes) needed to accomplish pattern trans-
fer from the mask to a resist coated wafer / substrate. At the heart
of the stepper are the optical illumination and projection systems.
The illumination optical system is designed to ensure a homoge-
neous illumination of the mask.
Fig.1.1. 1 shows a diagram of a typical projection system used in
optical lithography. A quasi-monochromatic, spatially incoherent
light source (e.g. an ArF excimer laser) is used to illuminate the
mask. Before reaching the mask, the laser beam passes through a
series of elements including a shutter, a beam shaper and a ho-
mogeniser. The beam shaper transforms the beam cross-section,
roughly into a square form. The homogeniser then splits the in-
Fig.1.1. 1: Optical illumination and
coming beam into a large number of outgoing beams, each of projection system; source:
(http://www.mmresearch.com/articles/arti
which illuminates the mask from a different direction. This im- cle4/ [Mansuripur,2000]).
proves the uniformity of illumination at the mask plane and in-
duces off-axis components into the illumination. Apertures may be inserted and aligned in the pupil
plane of the condenser lens, providing different designs for off-axis illumination (see below). The
condenser stop adjusts the degree of coherence of the illuminating beam. The wafer is mounted on a
mechanical stage which can be positioned under the projection lens.
A stepper works by transferring the image of a feature or component from a master (photomask) onto
a small portion of the wafer surface. The substrate is then moved or stepped and the image is exposed
once again onto another area of the wafer. This process is repeated until the entire wafer surface is
exposed. In full-field scanners a 1:1 projection technique is applied in which the wafer is exposed
through a curved slit extending across the entire wafer. By scanning the wafer and the mask
simultaneously across the slit, exposure of the full wafer is achieved in one scan (Fig.1.1. 2a). In step
and repeat lithography systems, the projection lens usually has a reduction ratio of 5:1 or 10:1 and the
exposure area is confined to one field. The wafer is then being stepped from field to field (Fig.1.1.
2b). The resolution capabilities in step and repeat systems are superior to those obtained from full-
field scanners, while the throughput is lower [Dokania, 2004].

30/11/2005 Dissemination level : Public Page 15/273


IST-017255 MONA Deliverable D1.1 (M4)

In 193-nm scanners/steppers often a catadioptric lens design, which incorporates both reflective and
refractive optical elements is used to reduce chromatic aberration effects [Rothschild, 1997]. The abil-
ity of the catadioptric lens to use the natural bandwidth of the ArF laser considerably eases the de-
mands on the laser design. In contrast, an all-refractive approach needs some sort of compensation for
chromatic aberration [Rizvi, 1997].
Multistage Scanners
In order to accelerate throughput, dual stage systems have been developed (e.g. ASML, Nikon), ena-
bling the exposure of one wafer and the alignment of the next wafer to take place in parallel, eliminat-
ing overhead time and allowing for continuous patterning of wafers. The exposure stage is designed to
process at high rates, while the calibration stage is used to calibrate the tool between each wafer ex-
change.
Resolution
The minimum feature that may be printed with an opti-
cal lithography system is determined by the Rayleigh
equation:
W = k1λ/(NA)
where k1 is the resolution factor, λ is the wavelength of
the exposing radiation and NA= n sin α is the numerical
aperture of the projection lens with α the largest angle
of converging rays subtended at the photoresist. In air
the upper limit for NA is 1. The parameter k1 represents
the composite effect of such different subsystems as
photoresist response, illumination, and patterns on the
photomask. Diffraction theory places a lower limit of
0.25 on k1. It is important to note that in the above equa-
tion W denotes half of a dense pair of lines and spaces
(half pitch) [Rothschild, 2005].
In order to further reduce the feature sizes, optical li- Fig.1.1. 2 Image transfer techniques for projection printing:
a) annular-field wafer scan; b) small-field raster scan; c)
thography must evolve in the following directions: de- reduction step-and repeat; d) 1:1 step and repeat (source:
www.geocities.com/atiefs/academics/literatures/photolithogra
creasing the lithographic wavelength λ, increasing the phy.pdf)
numerical aperture NA, and decreasing the parameter k1.
All three approaches have been implemented to various degrees.
Reduction of wavelength: As feature sizes have shrunk, the exposing wavelength has also periodically
shrunk. With 90nm half pitch entering production, Argon Fluoride (ArF) Excimer lasers (= 193nm)
became the working horse. Beyond ArF there are Fluorine Excimer lasers (F2) with = 157nm, but there
are still a number of technical challenges to overcome. Below the 157nm wavelength, there do not
exist any powerful lasers. In addition, when further decreasing the wavelength, the optical exposure
systems has to change to reflective optics due to high levels of absorption in refractive lens at shorter
wavelengths. The introduction of an all reflective lens exposure system introduces a number of techni-
cal challenges (see chapter 3.1.1.2 EUVL).
Enhancement of NA: Various improvements in lens design have led to improvements in the NA of the
exposure systems lens. In the mid eighties an NA value of approximately 0.4 was typical, today 248nm
exposure systems are available with an NA greater than 0.8. The physical limit to NA for exposure

30/11/2005 Dissemination level : Public Page 16/273


IST-017255 MONA Deliverable D1.1 (M4)

systems using air as a medium between the lens and the wafer is 1, the practical limit is somewhere
around 0.93 for ArF systems [Hand, 2005].
Using a medium between wafer and lens (as done in immersion lithography), such as deionised water,
with a refractive index of n = 1.44, potentially enables a maximum NA of 1.44. Unfortunately, a low
depth of focus (DOF), which is another key factor in determining the quality of projection photolitho-
graphy, usually accompanies high-NA illumination. The DOF is defined as the distance along the op-
tical axis over which features of illuminated surface are in focus and is determined by DOF ~ λ/(NA)2.
As resolution is increased through the use of higher-NA tools, the depth of focus can decrease to less
than 1 µm. This depth may be comparable to the height of the device topography. Multiple-layer resist
and top-surface imaging, which present a planarized top surface for exposure, can provide substantial
relief in this respect at the expense of process complexity [Chiu, 1997].
Reduction of k1: The third element in the Rayleigh equation is k1, a complex factor depending on sev-
eral variables in the photolithography process such as the quality of the photoresist and the use of reso-
lution enhancement techniques (RETs).
Photomask:
Photomasks are made of high-purity quartz or glass plates containing precision images of integrated
circuits or other (periodic) patterns of an absorbing material on their surface. Current lithographic
tools, such as DUV steppers, project light through a photomask and an high aperture lens to cast an
image of the pattern on the photomask onto a silicon wafer coated with a photoresist. Using “negative
photoresists”, the unexposed, or masked, portion of the resist material is removed so it can either be
etched to form channels or be coated with other materials (the process is reversed using “positive
photoresists”). Integrated circuits are manufactured layer by layer, so these selective deposi-
tion/removal steps are repeated until the desired circuit is built. The current generation of semiconduc-
tors has 30 or more layers, each requiring a unique photomask. Photomasks require sophisticated
manufacturing techniques and complex mathematical design algorithms in order to further miniaturize
the feature size on the wafer. Therefore several resolution enhancement techniques (RET) have been
developed, such as optical proximity correction (OPC) and phase shift masks (PSM) [photomask].
Binary Mask
A binary photomask is composed of transmissive
(clear) and opaque elements which form one layer
of the features to be patterned. Light passes through
the transmissive elements, exposing a pattern on the
photoresist. As feature sizes and pitches shrink, the
resolution of the projection optics begins to limit
the quality of the resist image. Fig.1.1. 3 illustrates
the light projection through a binary mask. There is
significant intensity, which is proportional to the
square of the energy, even below the opaque
chrome areas, due to the close proximity of the
neighbouring clear quartz areas: the quality of the Fig.1.1. 3 Binary Mask (from http://www.asml.com)
resist profiles is affected. Therefore phase-shift
techniques are designed to sharpen the intensity profile, and therefore the resist profile, which allows
smaller features to be printed.
Phase Shift Mask (PSM)
PSMs alter the phase of light passing through different parts of the mask, creating regions of destruc-

30/11/2005 Dissemination level : Public Page 17/273


IST-017255 MONA Deliverable D1.1 (M4)

tive interference in the image with higher contrast. In relation to the Rayleigh equation, phase shift
masks reduce k1 and therefore the feature size.
There are several types of phase shifting photomask
techniques, employing different types of materials.
This includes substances such as molybdenum silicide
(MoSiOxNy), which is a replacement for chrome, as
well as traditional chrome in conjunction with etched
quartz regions. Generally, these types of photomasks
are categorized as attenuated phase shift masks
(AttPSM), "soft" shifters, and alternating phase shift
masks (AltPSM), "hard" shifters.
Attenuated Phase Shift Masks (AttPSMs)
Attenuated (soft) PSMs are widely used for printing
contact layers. Attenuated masks use partially trans-
mitting, phase-shifted regions (adjacent areas of
quartz and, for example, molybdenum silicide) in-
Fig.1.1. 4 AttPSM (from http://www.asml.com)
stead of opaque chrome to define dark areas. MoSi

allows a small percentage of light to pass through.


The mild phase effect between the background and
main features sharpens the contrast and improves the
depth of focus. At 5 to 10% of the clear intensity, the
light transmitted by the attenuated areas is insufficient
to expose resist.
Alternating Phase Shift Masks (AltPSMs)
Alternating apertures are another approach used to
produce masks that engineer destructive interference
in order to print lines smaller than the wavelength of
light. Going beyond the traditional chrome-on-glass
approach, AltPSMs (Fig.1.1. 5) employ alternating Fig.1.1. 5 AltPSM(taken from http://www.asml.com)
areas of chrome and etched quartz. The etched areas
cause the light phase to shift 180° out of phase with
respect to the light passing through the non-etched
regions. The transition between etched and non-
etched regions produces an opaque area, thus pat-
terning the photoresist in an extremely precise man-
ner. The process of manufacturing the mask is con-
siderably more demanding and expensive than that
for binary masks. Furthermore, the AltPSM must be
accompanied by a second "trim"mask, resulting in
extra cost and decreased stepper throughput [Lieb-
mann, 2001] due to the necessity of double expo-
Fig.1.1. 6 Double exposure PSM methology (from [Rieger, sures. Fig.1.1. 6 illustrates a double exposure pro-
2001])
cedure (see e.g. [Kemp, 1994]), where a phase mask
images transistor gate regions, while a trim mask images the remaining non critical features.

30/11/2005 Dissemination level : Public Page 18/273


IST-017255 MONA Deliverable D1.1 (M4)

Off Axis Illumination


Off-axis illumination (OAI) improves Various off-axis designs

resolution by illuminating the mask with


light off the optical axis of the stepper
lens. The interaction of light at an angle,
falling on mask structures that are essen- annular dipole quadrupole quasar
tially diffraction gratings, can improve
the contrast of the image by transmitting
more of the diffracted orders through the
lens. Off-axis illumination is a standard
regular annular
production method for resolution en- illumination illumination
hancement. When the illumination is
chosen to fall on the mask at angles reso-
or
nant with the pitch of periodic structures
in the layout, the imaging characteristics
of these periodic features are significantly
enhanced. The on-axis components of the lens
Lens + Lens

image, which do not add contrast, are


reduced or eliminated leading to an im- Fig.1.1. 7: Different aperture designs for off-axis illumination
proved DOF. There are many geometric
configurations of off-axis illumination, including dipole illumination, quadrupole illumination, and
annular illumination (Fig.1.1. 7).
Nevertheless, there are two imaging problems that may result from OAI. First, only certain pitches and
periodic patterns are enhanced, while others are reduced, leading to some highly constrained design
rules. The second problem is that of the so called iso-dense bias, which can be amplified due to OAI.
Iso-dense bias arises, when features with the same linewidth on the mask print with different dimen-
sions on the wafer. Iso-dense bias is especially pronounced when comparing isolated and dense lines
using off-axis illumination. Normally, an isolated line scatters light uniformly, while an array of dense
lines creates a distinct diffraction pattern.
Optical Proximity Correction (OPC):
Using steppers to pattern wafers with sub-wavelength features causes proximity effects including
closed contacts or holes, rounded lines or topographic effects. The latter are typically imposed by the
underlying wafer layers. These proximity effects can cause a rectangular pattern in a photomask to
print as an oval on the wafer because light has a tendency to round off edges. Optical Proximity Cor-
rection (OPC), which is also called Rules-Based OPC (in contrast to Model-Based OPC, described
below) changes the size of openings in the mask by small jogs and the addition of serifs and sub-
resolution features (Fig.1.1. 8). This allows more
or less light to be transmitted through the mask so
that the image after diffraction matches the desired
pattern. While there are many different OPC tech-
niques, they all use ultra-small features to compen-
sate for how the light modifies the mask pattern
when it reaches the wafer. It is obvious that soft-
ware based design techniques are essential to real-
ize OPC. Model-based OPC, much like rules-based
OPC, begins by collecting information on the pat- Fig.1.1. 8: Schematic of OPC; [Rothschild, 2005]

30/11/2005 Dissemination level : Public Page 19/273


IST-017255 MONA Deliverable D1.1 (M4)

terning process, usually through specialized test patterns. These data are then turned into a mathemati-
cal model of the patterning behaviour. Such modelling approaches are essentially based on a first-
principle calculation of the aerial image rendered by the exposure tool, with some additional empirical
functions to improve the model predictions relative to the actual process data. With this model in hand,
no attempt is made to calculate the appropriate corrections directly, as in rules-based OPC. Instead, the
features to be corrected are iteratively manipulated until the modelled pattern prediction matches the
target layout. In most cases, the target layout is represented by the original layout, and iterations con-
tinue until the modelled pattern predictions match the input layout [Liebmann, 2001] [Lucas, 2002]
[Lucas, 2005].
Sub Resolution Assist Feature Enhancement (SRAF)
Off-axis illumination (OAI) provides significant process-window
enhancement by optimizing the angle of oblique illumination for a
certain pitch [angle = λ/(2 × pitch × NA)]. Unfortunately this implies
that pitches, other than the primary pitch, print with degraded process-
windows. The use of sub-resolution-assist features (SRAFs) provides
a means of recovering the process-window for pitches that are not
enhanced by the OAI. By creating nonprinting supplementary patterns
or assist features, next to the primary patterns in such a way (Fig.1.1.
9, bottom) that the combined layout approximately reproduces the
intended feature, the overall process window can be improved. How-
ever, because of mask manufacturability constraints, SRAFs can only
be drawn in discrete steps in size and spacing [Liebmann, 2001]. Fig.1.1. 9 top: original intended
layout; bottom: layout after with
SRAFs and serifs (from [Schellen-
berg,2001])
[Allgair,2005] J. Allgair and B. Bunday, A Review of Scatterometry of Three-Dimensional
Semiconductor Feature Analysis, Future Fab Intl. Vol. 19 (2005).

[Gise, 2005] P. Gise R. J. Hoobler, Mask metrology using OCD for profiling, Solid State Technology, April 2005.

[Chiu,1997] G. L.-T. Chiu and J. M. Shaw, Optical lithography: Introduction, IBM Research Journal, Vol. 41, No. ½, p. 3 (1997).

[Dokania,2004] http://www.uni-ulm.de/ilm/AdvancedMaterials/Paper/DokaniaLaserLithography.pdf

[Erdmann,2002] A. Erdmann and N. Kachwala, Enhancements in Rigorous Simulation of Light Diffraction from Phase Shift Masks, Pro-
ceedings of SPIE,Vol.4691, p.1156-1167 (2002).

[Hand,2005] A. Hand, Ed., Chipmakers Immerse Themselves in 193 Wet, Semiconductor international, 2/1/2005.

[Holmes,2001] Holmes A.S, "Laser fabrication and assembly processes for MEMS" presented at SPIE Photonics West LASE 2001, San
Jose, CA, USA, 19-26 Jan. (2001)
http://www.ee.ic.ac.uk/optical/ProcLASE(2001)_2of2.pdf

[icknowledge,2003] http://www.icknowledge.com/misc_technology/Immersion%20Lithography.pdf

[Kemp,1994] K.G. Kemp, Motorola Inc., Lithographic method using double exposure techniques mask position shifting and light phase
shifting, US-Patent 5308741 (1994).

[Lammmers,2004] David Lammers, Lithography gear switches for 65 nm, press release
(EE Times 07/12/2004 9:00 AM EDT).

[Liebmann,2001] L.W.Liebmann et al., TCAD development for lithography resolution enhancement, IBM Research Journal, Vol. 45, p. 651
(2001).

30/11/2005 Dissemination level : Public Page 20/273


IST-017255 MONA Deliverable D1.1 (M4)

[Lineback,2005] J. Robert Lineback, M. David Levenson, Sen. Ed., Immersion lithography's next wave of tools targets 'hyper-NA' and high
300mm throughput, , Solid State Technology magazine, May 2005.
http://sst.pennnet.com/Articles/Article_Display.cfm?Section=ONART&SubSection=Display&PUBLICATION_ID=5&ARTICLE_ID=2265
66

[Lucas,2002] K. Lucas et al., Model-based physical design improvements for the 100 nm lithography generation, Proceedings of the SPIE,
Volume 4691, pp. 215-226 (2002).

[Lucas,2005] K. Lucas et al., Investigation of model-based physical design restrictions , Proceedings of the SPIE, Volume 5756, pp. 85-96
(2005).

[Mansuripur,2000] M. Mansuripur and R. Liang, Projection Photolithography, Optics & Photonics News 11, (February 2000).

[Nikon,2005] http://www.tmcnet.com/usubmit/2005/jun/1159789.htm , press release, June 30 2005.

[photomask] http://www.photomask.com/technology/overview.html ; accessed in July 2005.

[Rieger,2001] M. L. Rieger, J. P. Mayhew, S. Panchapakesan, Layout Design Methodologies for Sub-Wavelength Manufacturing, Proceed-
ings of the 38th Design Automation Conference, DAC 2001, Las Vegas, NV, USA, June 18-22, p. 85-88, (2001).

[Rizvi,1997] Rizvi et al., A 193 nm Excimer Laser Microstepper system, Proc. SPIE Vol. 3183, p. 30-37,(1997).

[Rothschild,1997] M. Rothschild, A. R. Forte, R. R. Kunz, S. C. Palmateer, and J. H. C. Sedlacek, Lithography at a wavelength of 193 nm,
IBM Research Journal, Vol. 41, No. ½, p. 49 (1997).

[Rothschild,2005] M. Rothschild, Projection optical lithography, Materials Today, Vol. 8, Issue 2 , 18-24, 2005.

[Schellenberg, 2001] F.M. Schellenberg, O. Toublan, L. Capodieci, B. Socha, Adoption of OPC and the Impact on Design and Layout.
Proceedings of the 38th Design Automation Conference, DAC 2001, Las Vegas, NV, USA, June 18-22, p. 89-92, (2001).

[Taylor, 2005] J. C. Taylor et al., Fluids and resists for hyper NA immersion lithography, Proceedings of the SPIE, Volume 5753, pp. 836-
846 (2005).

3.1.1.1.2 Optical immersion lithography


Immersion lithography gained real attention from
lithographers only a few years ago. But in a short time
it started heading for full volume production [Gep-
pert, 2004] and so far no showstoppers have been
identified [Lineback, 2005].
Working principle:
In photolithography, immersion lithography is a vari-
ant technique that interposes a liquid medium be-
tween the optics and the wafer surface which replaces
the air gap. Using a medium with higher refractive
index n between lens and wafer increases the numeri-
Fig.1.1. 10 Immersion lithography: puddle sepa-
cal aperture NA=n sinα, determined by the accep- rates wafer and lens (source: [Park, 2004]).
tance angle α of the lens and the index of refraction n
of the medium surrounding the lens. The minimum
printable feature size decreases with increasing NA. The relatively simple trick of using immersion
techniques helps to extend the use of the 193 nm wavelength technology to produce feature sizes down
to the 45 nm and possibly beyond when using ultra-pure, degassed water. Immersion lithography in-
creases the effective depth-of-focus for a given numerical aperture NA and permits the use of optics
with numerical apertures NA >1.0 [ICKnowledge_immersion, 2003], [Geppert, 2004], [Ronse, 2004].

30/11/2005 Dissemination level : Public Page 21/273


IST-017255 MONA Deliverable D1.1 (M4)

Plans exists to launch high-volume immersion scanners with “hyper-NA” optics - up to and slightly
over NA=1.3 - between 2006 and January 2007. In addition, researchers and material suppliers are
beginning to narrow the options for high-index immersion fluids, which will eventually replace water
and support next-generation ArF lithography with lens systems in the 1.5-1.6 “hyper-NA” range for
the 32nm nodes and beyond [Lineback, 2005].
Setup:
The heart of an immersion scanner is schematically shown in Fig.1.1. 10. The motor driven wafer
stage in a 193nm exposure tool steps from location to location scanning the mask image for each field.
The relative position of lens system and wafer stage id typically determined by laser interferometry
[ICKnowledge_immersion, 2003]. In order to achieve high throughput the stage must accelerate rap-
idly, move accurately to the next field location, settle, scan the image and then step to the next loca-
tion, all in a short period of time. It is important to maintain a consistent bubble free liquid between
lens and wafer. Therefore the water in the gap is dispensed using a nozzle while a “puddle” is main-
tained due to surface tension. In addition temperature control is essential while passing through the
fluid with a rapidly moving stage and a pulsed laser, since variations in temperature would cause
variations in n and therefore image distortion.
Future issues:
One way to further extend immersion lithography is the development of immersion fluids with higher
refractive indices than those currently available (n(193 nm) = 1.44 for water and n(193 nm) = 1.7 for
typical resists). Higher index resists would help improve contrast in “hyper-NA” exposure tools. Ways
to find suitable high index immersion fluids as well as ways to increase the index of model resist sys-
tems were explored [Lineback, 2005].
A usable medium between the lens and the wafer, with an index of refraction >1, needs to have low
optical absorption at 193nm, be compatible with photoresist and lens material, be uniform and non-
contaminating. Ultrapure water with n =1.44, may meet these requirements and has an absorption of
<5% at working distances of up to 6mm. Water is compatible with photoresist and lens and in its ul-
trapure form is non-contaminating. With n = 1.44 and assuming sin α can reach 0.93 [ICKnowl-
edge_immersion, 2003], the resolution limits for 193nm immersion lithography are 35nm. This would
carry 193nm exposure technology beyond 2007.
Critical issues for immersion lithography are defect formation on the wafer, control characterization
and complex design of hyper-NA (i.e. NA>1) lenses. Lenses for immersion lithography tools with
NA=1.2 already exist and evolution is possible for systems NA = 1.3. However, their implementation
is expensive due to their size and the catadioptric design (a catadioptric system involves both lenses
and mirrors) required for the lens system [reed_immersion_c, 2005]. The high NA value and the re-
quired tight aberration tolerances tend to make the lenses exponentially more complex [Wagner,
2005]. Mask polarization constraints, such as polarization dependent transmission, including impact of
field size have to be considered (see e.g.[Osa, 2005]). Other resolution enhancement techniques
(RETs) and simulation tools have to be adapted for immersion lithography processes. Another issue is
the optimization of Bottom Anti Reflective Coatings (BARC) used extensively to reduce substrate
reflectivity. The availability of high-index immersion fluids with suitable properties have to be en-
sured and in this context the interaction between resist and fluid has to be controlled and potentially
topcoat layers have to be introduced [Park, 2004].
For NA>1 some polarization control is necessary. OPC software dealing with some peculiarities and
extremes of immersion lithography will also be needed.
Overlay control may be achieved using the dual wafer-stage technology or other double exposure
techniques [Owa, 2005].

30/11/2005 Dissemination level : Public Page 22/273


IST-017255 MONA Deliverable D1.1 (M4)

[Geppert, 2004] L. Geppert, Chip Making’s Wet New World, find feature article at

http://www.spectrum.ieee.org/WEBONLY/publicfeature/may04/0504lith.html, may 2004.

[ICKnowledge_immersion, 2003] Technology backgrounder: Immersion Lithography, IC Knowledge 2003.

[Lineback, 2005] J. R. Lineback, Immersion lithography’s next wave of tools targets ‘hyper NA’ and high 300mm throughput, Solid State

Technology Online, may exclusive feature: SPIE 2005, April 29 2005.

[Owa, 2005] S. Owa et al., Immersion Lithography: out of the Lab and Into the Fab, article in Future Fab Intl. 19:

http://www.future-fab.com/documents.asp?grID=213&d_ID=3363, 6/28/2005.

[Park, 2004] J. H. Park, The Interaction of Ultra-Pure Water and Photoresist in 193nm Immersion Lithography,

22nd Annual Microelectronic Engineering Conference, May 2004.

[reed_immersion_c, 2005] ASML Debuts Hyper NA Immersion Scanner, Semiconductor International, find article at

http://www.reed-electronics.com/semiconductor/article/CA625315?text=asml+debuts+hyper+na+immersion+scanner , 7/12/2005.

[Ronse, 2004] K. Ronse, 193nm immersion lithography, scientific report at IMEC, find report at

http://www.imec.be/wwwinter/mediacenter/en/SR2004/scientificreport/programs/p1/p1a/sr003_tableofcontents_cont.html# ,
2004.

[Wagner, 2005] C. Wagner et al., Stepping and scanning into the NA>1 immersion exposure era, Solid State Technology, feature article:

3.1.1.1.3 Optical interferometric lithog-


raphy
In this chapter the working principle of interfer-
ometric lithography (IL), which is a maskless tech-
nique, will be described together with relevant
technological issues. IL is particulary suited for the
production of periodic patterns.
Working principle:
In IL two (or more) coherent
Fig.1.1. 11: Schematic of IL working principle; taken from [IBM,2003]
beams interfere to produce a
standing wave, which can be
recorded in a photoresist (as
illustrated in Fig.1.1. 11,
from [IBM, 2003]). The pe-
riod of the periodic line-
space pattern is determined
by the wavelength of light
and the incident angle of the
Fig.1.1. 12: Schematic setup for IL; taken from [IBM, 2003]
laser beams. Similar to off-
axis illumination (OAI), described
in chapter 1.1.1.1, IL extends the bandwidth of an optical system by illuminating the object from high
angles. IL also allows a high degree of control over the polarization state of the illumination, ensuring
that high NA (numerical aperture) exposures have maximum contrast.

30/11/2005 Dissemination level : Public Page 23/273


IST-017255 MONA Deliverable D1.1 (M4)

Setup:
A possible set-up for IL is schematically shown in Fig.1.1. 12. In this set up the illumination is pro-
vided by splitting and recombining a continuous-wave beam at 257 nm, generated by frequency dou-
bling the 515 nm radiation of an Argon ion laser. The optical arrangement includes the monochromati-
zation and the polarization of the laser beam as well as a beam splitter to provide two coherent beams
for interference at the site of the resist coated substrate to produce periodic patterns.Another type of IL
tool, illustrated in Fig.1.1.
13, is the Lloyds mirror
interferometer. The basic
set-up includes the resist-
coated substrate and a mir-
ror fixed at an angle of 90°
with respect to the sub-
strate. The light reflected
Fig.1.1. 13 Schematic setup of Lloyds mirror interferometer; from [Carter, 2003]
from the mirror interferes
with the direct beam thus
producing a standing wave [Carter, 2003],[Carter, 2004].
Holographic Lithography
WorkingPrinciple:
Holographic lithography (HL) is a relatively simple maskless method for fabricating periodic and
quasi-periodic 3D patterns, such as required for the fabrication of photonic crystals. The operation
principle, utilizing the interference of at least four coherent non-coplanar beams with five parameters
per beam (intensity, direction, polarization state), enables low cost full wafer exposures of devices
with sub 100 nm features.
The method works e.g. by solidifying a resin that hardens when exposed to light. The resin is solidi-
fied into a three-dimensional grid by placing it in the interference pattern set up by four intersecting
coherent laser beams. Depending on the optical properties of the photoresist, these 3D periodic (poly-
meric) structures may also be used as templates to create complementary structures with a different
refractive index. For this purpose the pores in the resin are filled e.g. with another material, such as
e.g. titanium dioxide or another high-refractive index material which is thermally hardened while the
template is removed chemically and/or thermally.
Setup:
Different
four-beam
configura-
tions have
been dis-
cussed
[Yan,2004],
as for exam-
ple the um-
brella con- Fig.1.1. 14: left: Experimental set-up using a three beam configuration (for 2D periodic patterns)- from
[Chelnokov, 1999]; right: extension to a four beam configuration for 3D lithography from [Divliansky, 2003]
figuration,
where the central beam is directed along the axis of a cone with an apex angle g, while the three re-
maining beams are equally distributed on the cone, i.e. all four beams are in a half space, which is
experimentally more convenient, since opaque or absorbing substrates may be used [Meisel, 2004].

30/11/2005 Dissemination level : Public Page 24/273


IST-017255 MONA Deliverable D1.1 (M4)

Another possibility is a “two-plane” or “tetrahedral” geometry with two pairs of counter-propagating


beams in two perpendicular planes, i.e. two beams have to pass the substrate before reaching the
photoresist layer [Minogin, 1982].
There are several possibilities to realize a multi-beam configuration. The minimum number of four
beams, required for the generation of a periodic 3D pattern, can be realised by splitting a single laser
beam twice with dielectric beam splitters, as has been reported by Campbell et al. [Campbell, 2000].
Defect free, nanoscaled structures can be generated over larger substrate areas using this technique.
Another possibility is to use a single diffraction element, i.e. a mask with a central opening, through
which a fraction of the incident laser beam can pass and which is surrounded by three diffraction grat-
ings. The latter are oriented 120° relative to one another such that the first order diffracted beams and
the non-diffracted beam form a 3D spatial light intensity pattern. A similar setup for the generation of
three laser beams, showing a single diffraction element with three gratings is given in Fig.1.1. 14.
The modification of the mask for the generation of four beams (umbrella configuration) is illustrated
in Fig.1.1. 14. In comparison to using multiple independent beams, as described before, the single
mask implementation can improve alignment and stability of the optical setup, since accuracies due to
differences in the optical path length and angle among the interfering beams as well as vibrational
instabilities in the optical setup are reduced [Divliansky, 2003]. Unfortunately this single mask tech-
nique is accompanied by a significant loss of laser power.
A third alternative is the application of phase mask techniques exploiting interference effects formed
by the phase masks, which enables to use the laser power more effectively [Lin, 2005a] [Lin, 2005b].
Further Issues:
HL has emerged as a promising technique for the fabrication of high quality 3D photonic crystal tem-
plates over large substrate areas [Meisel, 2004]. The introduction of desired defects or defect struc-
tures usually involves the combination of several techniques in multiple-exposure steps, such as HL
for generating a photonic crystal and mask-photolithography for creating the defect structures
[Lai,2005] [Ho,2005].
Applications:
Alignment templates for organic crystals and block-polymers, semiconductor quantum dots and other
self-assembling structures, distributed FeedBack (DFB) structures for quantum dot lasers and 2D-
photonic bandgap devises have been made using the Lloyds mirror [Carter, 2003].
IL/HL can be used as a flexible and fast method for fabricating periodic and quasi-periodic patterns
that must be spatially coherent over large areas, such as 2D and 3D photonic crystals [John,1987;
Yablonovitch, 1987]. Using HL enables the production of photonic crystals scaled to the industrially
relevant infrared and visible light by reducing the diameter and pitch of air voids/pores. This reduction
is realized by generating submicron interference gratings for those HL techniques involving grating
elements. Such gratings can be fabricated using e-beam lithography.
[Campbell, 2000] M. Campbell et al., Fabrication of photonic crystals for the visible spectrum by holographic lithography, Nature 404, 53-
56 (2000).

[Carter, 2003] J.M. Carter et al., MTL Annual report 2003.

[Chelnokov,1999] A. Chelnokov et al., An optical drill for the fabrication of photonic crystals, J. Opt. A: Pure Appl. Opt. 1, L3-L6, (1999).

[Deubel,2004] M. Deubel, M. Wegener, http://www.cfn.uni-karlsruhe.de/web/index.php?tabId=11,

[Divliansky, 2003] I. Divliansky et al., Appl. Phys.Lett 82, 1667-1669 (2003).

[IBM,2003] http://www.almaden.ibm.com/st/exploratory_technology/nanophotonics/
http://www.almaden.ibm.com/st/nanoscale_science/nano-fabrication/sub100nm/objectives/il/

30/11/2005 Dissemination level : Public Page 25/273


IST-017255 MONA Deliverable D1.1 (M4)

[John, 1987] S. John, Phys. Rev. Lett. 58, 2486 (1987).

[Lin,2005a] Y. Lin et al., Design and holographic fabrication of tetragonal and cubic photonic crystals with phase mask: toward the mass-
production of three-dimensional photonic crystals, J. Appl. Phys. 97, 096102 (2005).

[Lin,2005b] Y. Lin et al., Proposed single-exposure holographic fabrication of microsphere-type photonic crystals through phase-mask
techniques, J. Appl. Phys. 97, 096102 (2005).

[Joannopoulos,1997] J.D. Joannopoulos et. al., Nature(London) 386, 143 (1997).

[Meisel,2004] D.C. Meisel et al., Phys. Rev. B, 70, 165104 (2004).

[Minogin, 1982] V.G. Minogin and J. Javanainen, Opt. Commun. 43, 119 (1982).

[Yablonovitch,1987] E. Yablonovitch et al., Phys. Rev. Lett.58, 2059 (1987).

[Yan,2004] T.-M. Yan and H.-K. Liu, Holographic Creation of Photonic Crystals, Appl. Opt. 43, 4376 (2004).

3.1.1.1.4 Maskless optical lithography


Due to the high costs of photomasks in projection photolithography, a growing number of companies
and universities (in Europe and Japan) are rushing to develop maskless lithography (ML2) tools. At
present, there appear to be at least three approaches: optical ML2 (O-ML2), which is the subject of this
section, charged-pulse (CP)-ML2 and projection (P)-ML2. However, slow throughputs, tool complexi-
ties and uncertain market opportunities are among the current drawbacks for ML2, which is targeted
for the 45-nm node (ITRS) in the 2007 time frame [LaPedus,2005a].

3.1.1.1.4.1 MOPL (Maskless Optical Projection Lithography)


In MOPL the photomask is replaced by a digitally controlled programmable spatial light modulator
(SLM) consisting of an array of tiny moveable micromirrors, which generate a pattern. As the design
of the photomask is fed into the SLM, individual mirrors are either flexed or remain flat. Light re-
flected off the flexed mirrors is scattered and does not expose the photoresist. Light reflected off the
flat mirrors exposes the resist, creating the pattern of the photomask. In MOPL a high power pulsed
laser source is used, whose rate limits the switching speed of the SLM. Sufficient throughput can only
be achieved by an extraordinarily high number of pixels on the SLM (more than 10 millions). Several
pixels on the SLM are used to print a single pixel in the image on the wafer, because the illumination
in the system is partially coherent, with a coherence length exceeding the mirror dimension. Therefore
light from each mirror interferes with light from it’s near neighbours and the imaging system can not
resolve individual mirrors.
The SLM image is projected onto a wafer by means of a reduction lens. The use of refractive optics
prevents the extention of MOPL to wavelength much shorter than 157 nm. In MOPL one field of the
pattern is projected at a time before the substrate is scanned to enable the printing of the next field.

3.1.1.1.4.2 ZPAL (Zone Plate Array Lithography)


ZPAL is an optical maskless lithography technique, which, similar to MOPL, uses a programmable
SLM to replace the photomask. In contrast to MOPL not a single reduction lens is used to focus the
light onto the wafer, but an array of (high NA) diffractive lenses “the zone plates” focuses the incident
light into an array of spots on the photoresist-coated substrate. Instead of a pulsed laser source a colli-
mated laser beam from a continuous source can be used in ZPAL enabling a higher switching speed
of the GLV (Grating Light Valve)-based SLM (see below), which compensates for the smaller number
of pixels and zone plates.

30/11/2005 Dissemination level : Public Page 26/273


IST-017255 MONA Deliverable D1.1 (M4)

Setup:
As illustrated in Fig.1.1. 15
an array of zone plates (dif-
fractive microlenses) focuses
normally incident light into
an array of spots on the sur-
face of the substrate. Light
intensity in each spot is inde-
pendently controlled by pix-
els on an upstream SLM. The
substrate is scanned and pat-
terns of arbitrary geometry
are written in a ‘dot-matrix’
Fig.1.1. 15 Schematic of ZPAL; taken from [Menon,2005]. fashion [Menon,2005].
The laser beam hits a com-
puter controlled array of pistons or tilting micromechanical mirrors (as part of the spatial light modula-
tor SLM), where each of them can be individually controlled by splitting the incident beam in inde-
pendently modulated individual beamlets. Each of them is reflected to a particular zone of a zone plate
array. In ZPAL the micromechanical mirrors of the SLM, are realized by ‘Grating Light Valves’
(GLVs). Each pixel in a GLV is a diffraction grating that consists of a number of ribbons. In order to
actuate a pixel, alternate ribbons are moveable and can be pulled down by applying a voltage to a bot-
tom electrode thus forming a diffraction grating. In an alpha-prototype system, the +1 diffraction order
is used to illuminate the zone plates [Menon, 2004]. Thus the intensity of the individual beamlets can
be simultaneously modulated (i.e. turned on or off) as the substrate is rasterscanned under the zone
plate array and thus patterns of arbitrary geometry are generated in a “dot matrix” fashion. The array
of hundreds of microfabricated Fresnel zone plates is used to focus the individual beamlets of light
onto the wafer to be patterned. The zone plates focuses on axis avoiding aberrations or distortions to
the printed image. Due to the incoherent addition of spots, proximity correction in ZPAL is much sim-
pler than in OPL. No individually predesigend masks are needed and circuit designs can be adapted
rapidly by computer controlled adjustment
of the intensity reflected from the individ-
ual micromirrors.
A telescope is used to match pixels on the
spatial light modulator to the zone plates.
As schematically illustrated in Fig. Fig.1.1.
16, a band-pass filter in the back-focal
plane of the first lens selects the +1 diffrac-
tion order to illuminate the corresponding
zone plates. The telescope also matches the
pitch of the pixels on the GLV to the pitch
of the zone plates in the array. When a Fig.1.1. 16: Telescope for matching pixels on SLM to corresponding
pixel is not actuated, all light is in the 0th zone plates; from [Menon, 2004]
order and thus blocked in the telescope.
Future issues:
The resolution in ZPAL is comparable to that in OPL. Adding an immersion fluid between the zone

30/11/2005 Dissemination level : Public Page 27/273


IST-017255 MONA Deliverable D1.1 (M4)

plate array and the the substrate might further improve the resolution. Using an immersion fluid of
index 1.5 could improve resolution down to 45 nm.
In order to build up the whole pattern it is necessary to stitch the boundaries of the field areas pat-
terned by different zone plates. Errors might arise from misalignment between zone plate array axes
and the scanning stage axis. Writing test gratings allows for precompensating the patterns and thus
stitching errors at the field boundaries could be significantly reduced. For overlay control as a measure
of the relative misalignment of two consecutive levels of lithography, peripheral zone plates focus
nonexposing wavelength in a scanning confocal microscopy mode to generate images of alignment
marks on the substrate. These marks are used to align the substrate with the previous lithography level.
ZPAL provides flexibility and saves photomask costs at the expense of lower throughput. The
throughput is about a factor of 10 smaller than for OPL but two orders of magnitude higher than scan-
ning e-beam lithography. Menon et al. claimed that a throughput of 5 wpH could be achieved
[Menon,2005].
[LaPedus,2005a] M. LaPedus, Maskless litho in R&D stage, press release EETimes, 01/23/2005.

[Menon,2005] R. Menon, Maskless lithography, Materials Today, Vol. 8, Issue 2 , 28-29, 2005.

[Menon, 2004] R. Menon et. al., J. Vac. Sci. Technol. B 22(6),3032, 2004.

3.1.1.2 EUVL Extreme Ultraviolet Lithography


EUVL currently appears to be the leading candidate as the next generation lithography (NGL) tech-
nology for the fabrication of semiconductor chips with feature sizes of 45 nm and later down to 22 nm.
However, using extreme ultraviolet light at a wavelength of 13.4 nm for patterning imposes big chal-
lenges. The generated structures are thus larger than the wavelength used for patterning. Therefore no
sophisticated resolution enhancement techniques (RET) are needed for the projection of the pattern on
the mask onto the wafer. Nevertheless the mirror optical system is designed for a reduction of the
mask pattern in order to minimize the costs for the mask.
In contrast to photo lithography, EUVL stepper devices cannot use lenses in their projection optics,
since EUV radiation is absorbed by most materials including air. Instead reflective multilayer mirrors
have to be used inside a ultra high vacuum (UHV) chamber, which is operated at pressures of about
10-7 mbar or below. The vacuum also prevents contamination of the supermirrors, which are highly
sensitive.
Setup:
A scheme of an EUVL stepper tool is given in mask stage

Fig.1.1. 17 [Stamm, 2002]. It shows the illumination


chamber containing the source and collector optics EUV radiation

pump collector optics


(right), the optical system including several mirrors, mirror optics

the wafer stage (bottom) and the mask stage (top)


inside an UHV chamber.
Sources:
Due to the high absorption of UV radiation by any wafer stage

kind of matter, there does not exist any efficient and


powerful EUV laser which uses optical resonators. Fig.1.1. 17 Scheme of an EUVL stepper tool.
EUV light is either produced by Synchrotron sources, source: [Stamm, 2002]
from discharge-produced plasmas (DPPs) or laser-
produced plasmas (LPPs). Synchrotrons are sufficiently powerful sources, but they are not feasible for

30/11/2005 Dissemination level : Public Page 28/273


IST-017255 MONA Deliverable D1.1 (M4)

industry, which would have to build their fabs around a synchrotron source.
However, synchrotron sources serve well for test purposes as demonstrated by the microexposure test
tool, a test tool, which has been tested at the Lawrence Berkeley Laboratory [Berkeley,2005].
EUV source output power has to be increased high enough to meet high-volume production demands,
while also remaining cost-effective. The high-volume output requirement that has been quoted is ~115
W at the intermediate focus (IF), which is at the illuminator entrance. Source parameters have im-
proved by more than an order of magnitude since 2001, but they will have to improve by about an-
other order of magnitude to meet production requirements. Most source developers have still not
achieved 10 W [reed, 2004].
Viable EUV sources are based on creating an EUV-emitting
plasma delivering energy into a suitable target material, typically
Xenon gas (DPP sources), condensed gaseous Xe, Li or Ti drop-
lets (LPP sources). DPP sources are cheaper and more efficient
than LPP sources using sophistically shaped electrodes to transfer
electric energy directly to the plasma:
DPP sources are comparable to excimer lasers, using a pair of
electrodes to excite EUV emission. A peak current of several ten
thousand amperes is generated in a low-pressure, pre-ionized xe-
Fig.1.1. 18 Principle of pinch- non gas. A plasma emits a broad spectrum of light. In order to get
cathode; from [Stamm, 2002]
a maximum radiation output at a wavelength of 13.4 nm the
plasma has to have a temperature of about 220000 °C, which cannot be achieved with conventional
gas discharge lamps, but with the help of magnetic fields in so called pinch cathodes. Pinch cathodes
are based on the pinch effect whereby a plasma is confined to a tied region by a magnetic field induced
by the discharge current (illustrated in Fig.1.1. 18). Only 1-3% of the input energy gets converted to
the desired EUV radiation at a wavelength of 13.4 nm. Therefore it is a technical issue to take away
the excess heat and prevent the electrodes from melting [optics, 2005].
Different source concepts exist, such as, Z-pinch-cathodes, HCT (hollow cathode triggered) sources,
dense plasma focus (DPF) sources and capillary discharge sources which will not be detailed here
[Stamm, 2002], [Fomenkov, 2004].
In the case of LPP sources, pulsed laser radiation is focused
onto a target, such as water droplets or Xenon, generating a
hot dense plasma (see illustration in Fig.1.1. 19). The emis-
sion strongly depends on the target material, on the laser
parameters and on the temporal development of the plasma.
Diode pumped laser sources are likely to reach the required
lifetimes sooner than DPP sources since they produce less
debris. For the same reason also the mirrors are prevented
from damage. To avoid any debris flux from the source,
gaseous targets are used, which are condensed to high den-
Fig.1.1. 19 Working principle of a LPP sity (e.g. clusters, droplets, liquid jet introduced with a noz-
source; taken from [optics, 2003]
zle). The total conversion efficiency of the LPP depends on
the pure plasma conversion and the efficiency of the laser coupling into the plasma. This coupling, i.e.
the laser absorption, depends on the target morphology, the duration of the interaction (laser pulse
length) intensity and wavelength [Lebert, 2001].

30/11/2005 Dissemination level : Public Page 29/273


IST-017255 MONA Deliverable D1.1 (M4)

Optical system:
The mirrors used in EUVL need to have excellent quality and extremely smooth surfaces. The [Mo/Si
]n multilayer system exhibits a maximum reflectivity of about 70 % at a wavelength of about 13.4 nm.
At normal incidence multilayer thin film systems with an alternating material sequence are particularly
designed to enhance the reflectivity of the mirror.
Reflectivities of about 70 % imply that 98 % of the incident light is being absorbed when 10 mirrors
are used to direct the light between the source and the wafer. This is the reason why powerful EUV
sources are needed to be able to enable a throughput of about 115 - 120 wafers per hour [Aschke,
2003].
Mask
In EUVL reflective masks have to be used. Similar to the mirror optics they have to be fabricated on
low expansion material substrates, coated with low defects Mo/Si multilayer stacks. Mask blanks with
defect densities as low as 0.01 per cm2 have been reported [Gwyn, 2001]. A variety of different pat-
terned absorbers have been used including Al, Cr, TaN, TiN, TaSiN, NiSi,Ti. The absorber materials
are usually deposited using conventional low temperature silicon processing methods with Si sub-
strates. The wafer has to be positioned at nanometer precision at a process speed of 0.5 to 2 m/s.
Resist
The resist development is based on DUV resist technology and pattern transfer experience used in
conventional fabrication facilities. The main problem to be confronted in developing a satisfactory
photoresist for EUVL is the strong absorption of EUV radiation by nearly all materials. The absorption
depth in standard organic resists used today is less than 100nm. EUV resists have to be structured so
that patterning has to occur in a ultrathin imaging layer at the surface of the resist and therfore multi-
layer resist systems will have to be designed. Further pattern transfer may occur via etching. Therefore
a suitable resist must also possess excellent etch resistance [Gwyn, 2001], [Czech, 2002].
Further Issues:
Sources:
The lifetime of the collector is influenced by the source material (e.g. Xenon, Tin, Lithium) and con-
taminants that deposit and build up on the collector optics. Tin and Xenon ions sputter more readily
onto the collector than Lithium ions because of their significantly higher energies. In order to solve
this problem, capping layers and a heating system may be used that can evaporate contaminants from
the collector before significant diffusion occurs. A pressing technical issue for EUV lithography is the
development of a source with a high repetition rate, sufficient brightness and lifetime, and sufficiently
low particulate emissions. High output power can be scaled by increasing repetition rate, conversion
efficiency and collection efficiency and is essential to high throughput. Increasing the repetition rate
helps to increase the average power, but requires improved thermal management. Increasing conver-
sion efficiency, which also improves output power, can be achieved by optimizing plasma conditions,
but many developers are also looking into new target materials with better efficiencies than the com-
monly used xenon target. Repetition rates between 2-10 kHz have been reported and output powers of
2 -12 W at the intermediate focus [reed, 2004].
Optical system:
In a system with 10 mirrors about 98 % of the initial EUV radiation is transformed into heat within
the stepper. Therefore all optical elements need to exhibit excellent thermal stability, with very low
thermal expansion coefficients. This applies in particular to the mask, which is the first element in the
imaging optics. A square shaped mask (15 cm side length) must not expand more than 0.15 nm when
increasing the temperature by 1°. Furthermore the surface has to be and remain atomically flat in order
to avoid scattering of the EUV radiation from the mirror surface, instead of being reflected.

30/11/2005 Dissemination level : Public Page 30/273


IST-017255 MONA Deliverable D1.1 (M4)

One big problem is debris on mirror optics, caused by erosion of DPP source electrodes due to high
electric currents during the discharge process. Energy corresponding to 100 kW will have to be
pumped into the plasma in order to achieve 100 W of usable light at the intermediate focus.
Furthermore, the light emission in the plasma is followed by ejection of multiply charged ions, which
can significantly damage nearby mirror surfaces. After the fast ions pass, a slower moving cloud of
ions and neutrals including Xenon and electrode material spread from the source causing continued
coating and sputtering of the mirror surfaces. Instead of electric discharge (DPP) sources some of the
experts therefore favour LPP sources. But right now laser induced plasma sources are still less devel-
oped than plasma discharge sources.
Mask:
The absence of defects in the mask is a critical issue. The mask is the object that is imaged by the opti-
cal system. Therefore any defect in the mask gets directly transferred to the wafer leading to a mal-
function of the patterned integrated circuit.
Lifetime:
A big problem of EUVL stepper tools is stability and reproducibility over years. In addition in indus-
trial production only a few days per year are available for maintenance and it takes usually several
days to achieve good vacuum conditions after opening the vacuum chamber. These problems are at-
tacked using special in-situ purification processes. Low concentrated reactive gases are let into the
chamber and react with impurities on the supermirror surfaces which subsequently are removed.
In order to avoid even small defects, polishing and coating steps have to be performed in clean rooms.
Finding and repairing of defects is crucial. Using a scanning tunneling microscope (STM) to achieve
these goals is possible but not feasible since it takes far too long. Tools for diagnosis play an important
role in EUVL. A mask with defects used to be thrown away. Due to the expected high costs (of at least
about 90 000 $) for an EUV mask it is worthwhile repairing them. Suitable defect repair tools therfore
have to be developed [Aschke, 2003].
[Aschke, 2003] L. Aschke et al., Lithografie am limit, c't 13/,198 (2003).

[Berkeley, 2004] SEMATECH, Berkeley Extreme Ultraviolet Microexposure Tool 2004 Year-End report, (2005).

[Czech, 2002] Czech et al.,193nm Resists: A Status Report (Part One) Future Fab Intl. 12, 2/2/2002.

[Fomenkov, 2004] I.V. Fomenkov et al., EUV discharge light source based on a dense plasma focus operated with positive and negative
polarity, J. Phys. D: Appl. Phys. 37, 3266-3276 (2004).

[Gwyn, 2001] C. Gwyn et al. Extreme Ultraviolet Scanning Lithography Supports Extension of Moore’s Law, Future Fab Intl. 11, 6/29/2001.

[Lebert, 2001] R. Lebert et al., Comparison of differnt source concepts for EUVL, Proceedings of SPIE Volume: 4343, 215-225 (2001).

[optics, 2003] EUV sources shape up for competition, article in Opto & Laser Europe, october 2003.

[optics, 2005] Extreme UV lithography preserves Moores’s law, feature article Opto & Laser Europe, june 2005.

[reed, 2004] A. Hand, EUV Sources Continue to Fight the Fight, press-release 6/1/2004.

[reed, 2005] A. Hand, Reed-Electronics, Economics Are Not on the Side of EUV Lithography, press-release 5/1/2005.

[Stamm, 2002] U. Stamm et al., Strahlungsquellen für die EUV-Lithographie, Physik Journal 12, 33 (2002).

30/11/2005 Dissemination level : Public Page 31/273


IST-017255 MONA Deliverable D1.1 (M4)

3.1.1.3 E-beam Lithography


There are several types of electron beam lithography (EBL) systems using different techniques to im-
prove throughput and precision. Lithography systems can be realized, most easily, by converting scan-
ning electron microscopes (SEMs). These systems use the so called raster scan method, where the
beam is scanned line-wise across the wafer. They are characterized by small field of view and low
throughput, since wafers are processed serially. In order to increase productivity the latter can be re-
placed by the vector scan method, where the beam is directed to the areas to be illuminated, while the
beam is blocked everywhere else. Further improvements include Shaped Beam Systems: therefore the
beam passes through one or two apertures allowing various shapes to be created. Other projection
systems use “stencil masks” which absorb, or more efficiently, reflect electrons as in the SCALPEL
[Liddle, 1999] and PREVAIL [Dhaliwal. 2001] systems. Finally Massively Parallel Beam Systems
(Multiple Beam Systems) exist with many tiny electron guns or with arrays of miniature lenses and
apertures (Single Beam Multipath Systems) [Kruit, 1998], [Nanolith, 2003], [Baylor, 2002]. Although
EBL provides high resolution and good quality of the
patterns, the throughput is comparatively low. Therefore
in industry, EBL is mainly used for the production of high
quality masks.

3.1.1.3.1 SEBL Scanning electron-beam li-


thography
Setup:
As illustrated in Fig.1.1. 20, a typical EBL system,
which is based on a SEM setup, consists of the following
parts: 1) the electron emitter or electron gun, 2) the elec-
tron column that shapes and focuses the electron beam
Fig.1.1. 20 Schematic of an EBL tool.
using electric or magnetic lenses, 3) a mechanical stage
that positions the wafer substrate under the electron beam, and (not shown in the figure) 4) a wafer
handling system that automatically feeds wafers to the system and unloads them after processing and
5) a computer system that controls the equipment.
The resolution in EBL is not limited by diffraction (the wavelength of the electrons used is of the order
of several 0.01 nm) but by the beam diameter (between 0.5 nm and 200 nm), by electron scattering in
the resist, by backscattering from the wafer surface (proximity effect) and by various aberrations in the
electron optical system. The resolution for currently available tools is < 20 nm. Electron beam lithog-
raphy is capable of producing sub 5 nm structures. The limiting factor is pattern transfer like lift off or
etching of certain substrates. Using multilayer techniques and special development conditions, re-
searcheres now achieved sub 10 nm resolution using PMMA. New types of resist like Calixarene are
under evaluation and have qualified for sub 10 nm resolution as well [Raith].

30/11/2005 Dissemination level : Public Page 32/273


IST-017255 MONA Deliverable D1.1 (M4)

3.1.1.3.2 Maskless (ML2) EBL: parallel direct-write e-beam systems


ML2 with demagnifying optics - setup:
Massively parallel electron beam systems may consist of a high current electron gun, a demagnificat-
ing lens array, a deflector array, a blanking aperture array, an objective lens array, which consists of
identical e-beam micro-colums acting together for higher throughput and a target.
A variant technology has been developed by Canon Inc: the coverage of a single electron beam is ef-
fectively expanded by breaking it into an array of many parallel e-beam micro-columns which are
assembled into an array (see Fig.1.1.
22). Electrostatic lenses provide a re-
duction factor of about 50 along the e-
beam column. Each beamlet can be
individually scanned over an area cov-
ering a few square microns. The array
of beamlets collectively forms a sub-
field covering a larger area of several
thousands of square microns. The final
desired exposure field at each shot is
formed during a continuous-step-scan.
Canon Inc. employs a correction lens
array (CLA) for the local control of
Fig.1.1. 22 Maskless e-beam lithography using an array of individually
each beamlet. As shown on the right corrected beamlets to provide high-volume direct-write capability; taken
side in Fig.1.1. 22, the CLA consists from [Canon, 2002].

of a two-dimensional (2D) aperture


array, a 2D- deflector array and a pair of 2D electrostatic lens arrays [Canon, 2002]. Each micro-beam
can be individually controlled by electrically activating the lenses and deflectors in the CLA. The CLA
is incident to a reduction optics system. Beam transmission is controlled electrically by the blank aper-
ture array (BAA). The reduction optics consists of two symmetric magnetic doublet lenses. This setup
with the CLA effectively cancelling aberrations, which normally occur in reduction optics, has been
designed in particular to produce well corrected images. High accelerating voltages allow the use of
single resist layers although this often leads to
wafer heating and reduced resist sensitivity.
This ML2 technique cannot replace other NGL
techniques, since it is rather suited for cost
effective low-volume production.
Multiple e-beam sources
Other ML2 variants use the concept of 1:1 so-
lenoid field imaging based on a homogeneous
solenoid field, instead of employing demagni-
fying projection optics. This variant is based on
multiple e-beam sources being supplied either
Fig.1.1. 21 Integrated electron beam source car- in the form of a converter plate (e.g. photocath-
tridge; taken from [Lockwood,2001] ode with a proper circuitry to field emitters as
in the case of “Mapper”[Kruit, 1998]) or in the form of carbon nanotube emitters [Nanolith,
2003][Park, 2005].

30/11/2005 Dissemination level : Public Page 33/273


IST-017255 MONA Deliverable D1.1 (M4)

Setup:
The concept proposed by Kruit et al. in 1998 for the generation of sub-100 nm features is based on a
traditional deep ultraviolet demagnification scanner/stepper illuminating a mask by 106–108 almost
diffraction limited sub-beams which are formed by a micro-lens array. The sub-beams are demagnified
and then focused on a photon/electron converter plate. Each photonic sub-beam triggers the emission
of a narrow beam of electrons. The electron beams are focused individually on the wafer, which is at a
distance of about 1 mm from the converter plate. By scanning both mask and wafer through the many
beams, the whole wafer is exposed [Kruit, 1998].
As illustrated in Fig.1.1. 21, the electron beam array combines a field emitter array (FEA) based on
the previously stated concept with an array of microchannnel amplifiers (MCA) to increase the stabil-
ity of the electron beams. An electron beam lens array (EBLA) then focuses the beamlets onto the
wafer.
This concept has been realized with some modifications in the “MAPPER” technology (Multi-
Aperture Pixel-by-Pixel Enhancement of Resolution [http://mapperlithography.com/]). The electron
optics generates 13.000 electron beams by splitting up a single electron beam. They are focussed on
the wafer by electrostatic lens arrays. Simultaneously scanning the wafer and deflecting the electron
beams, the beams are switched on and off by 13.000 corresponding light signals, one for each e-beam.
The light beam generation is aided by computer-control. This 1:1 techniques uses low energy electrons
and therefore needs a two or three layer resist technique. In the case of electron beam exposure, a
proximity effect due to reflected electrons occurs. With such phenomena, the resolution and linewidth
control of resist patterns are limited. A two-or three layer system includes coating a bottom resist layer
of an organic polymeric material on a substrate with topography. The bottom resist layer planarizes the
topography. Thereafter, an intermediate layer is formed on the bottom resist, and a top resist layer of a
radiation-sensitive polymeric material is formed thereover.
The top resist layer is patterned by conventional exposure and development techniques. Using the top
patterning resist layer as a mask, the intermediate layer is etched. Thereafter, using the obtained inter-
mediate layer pattern as a mask, the bottom resist layer is etched.
In a three-layer resist system the intermediate and top resist layers are formed on a planar surface of
the bottom resist layer. Therefore, the thin top resist layer to be exposed, is coated uniformly, and can
be patterned with high resolution. In addition, since the top resist layer is spatially separated from the
substrate by a relatively thick bottom resist layer, adverse influence of the substrate on exposure radia-
tion can be reduced. Thus, the three-layer resist system improves the resolution.
CNT based ML2 / the NANOLITH - project
Another example for parallel e-beam lithography has been adressed in the EU-NANOLITH project.
The objective of this IST-project was to demonstrate, that parallel e-beam lithography driven by an
active matrix can comply with the requirements of high throughput, high resolution of 10 nm and low
cost for lithographical mask production. Arrays of single beam sources consisting of individual nano-
columns with an emitter size smaller than 10 nm are synchronized and act as micro-electron guns. In
the case of the NANOLITH project, carbon nanotubes (CNTs) served as electron emitters. The CNT
based microcathodes have been fabricated using PECVD in conjunction with a self alignment process.
The Nanolith investigations where focussed on an array of 100x100 microcathodes. The individual
components of a potential prallel lithography system have been studied and characterized, but so far
there does not exist a prototype [Nanolith, 2003].

30/11/2005 Dissemination level : Public Page 34/273


IST-017255 MONA Deliverable D1.1 (M4)

3.1.1.3.3 E-beam projection Lithography (EPL)


In EPL the electron beam is directed through a reticle or mask in order to project the mask pattern onto
the wafer while demagnifying it. The electron optics between the mask and wafer precisely deflects
the electron beam to sequential positions on the mask and wafer. Corrections to the beam positioning
are made to correct stage positioning errors. Si-stencil masks or membrane masks (thick-membrane or
thin-membrane mask concepts exist) may be used for EPL. The masks are coated with a patterned
scattering (e.g. a metal) material. Regardless of details in the setup, most EPL approaches use mem-
brane masks, which are composed of metallic shapes on the surface of a thin membrane. The metallic
shapes block or scatter incident electrons defining the layout features on the wafer. If the supporting
membrane is very thin, there is a lack of structural integrity. An approach to solve this problem is to
use a network of struts dividing the mask layout into adjacent subfields. During the EPL process the
projections of the individual subfields have to be stitched together on the wafer. Therefore the writing
field and stage translation must be well calibrated with respect to each other. This can be achieved
using laser-interferometer metrology. In order to minimize possible stitching errors, partitioning of the
mask layout should minimize cuts of layout features in the overlapping area between two adjacent
sub-fields. The big challenge for application in industrial purposes is the throughput. Sophisticated
technological approaches to increase the throughput have been presented by IBM with their PREVAIL
[Dhaliwal, 2001] system, by Lucent with their SCALPEL system [Liddle, 1999], as well as by Nikon
[Miura, 2002].
Shaped beam systems:
In a shaped beam system, consisting of an electron gun, a first aperture, a shaping deflector, a second
aperture, a scanning deflector and the resist coated wafer, various forms can be exposed on the wafer
due to the combination of e.g. two rectangular shaped apertures defined on a stencil mask. The first
aperture is the limiting aperture, which provides a narrower parallel beam. The shaping deflector then
focuses the e-beam on the second aperture. Superimposing two apertures can result in several shapes.
Finally, a scanning deflector deflects the beam across the wafer. The beam can illuminate several pix-
els arranged in elementary geometric forms at the same time. A so called cell projection system is an
extension of a shaped beam system. The basic difference is that the second aperture / stencil mask is
more sophisticated. A cell projection system has the advantage over shaped beam systems, that com-
plex shapes can be created on stencil masks with only modest
reductions in throughput.

3.1.1.3.3.1 SCALPEL Scattering with Angular Limi-


tation Projection E-beam lithography
SCALPEL [Liddle, 1999] was first to implement sequential
illumination of a mask in an e-beam reduction projection sys-
tem by mechanical scanning of mask and wafer at 4:1 speed
ratio underneath a stationary beam. A scheme of the setup is
given in Fig.1.1. 23.
Setup:
The basic setup consists of the electron source, a scattering
mask (150 nm SiN membrane patterned with a 50 nm thick Fig.1.1. 23 Schematic for the SCALPEL
metallic scatterer, such as gold or tungsten), a (electric or process
magnetic) lens, a back focal-plane filter (SCALPEL aperture)
and a resist-coated wafer.

30/11/2005 Dissemination level : Public Page 35/273


IST-017255 MONA Deliverable D1.1 (M4)

Working principle:
The metallic layer of the mask reflects the incident electrons to which the SiN layer is transparent. The
lens then diminishes the image of the mask, while a back focal-plane filter eliminates noise to sharpen
the image before a negative image of the mask is written to the resist. The illumination in the
SCALPEL system is incoherent, so there are no interference effects.
Mask:
The SCALPEL system uses a scattering mask rather than an electron absorbing mask. The mask in-
cludes a SiN membrane (with low atomic number) covered with a patterned scattering material (high
atomic number). The membrane scatters electrons weakly and high energy electrons (100 keV) pass-
ing through the SiN are most likely to be focused through the back focal plane aperture, while the pat-
terned metal layer strongly scatters electrons to high angles. An aperture in the back focal plane blocks
the strongly scattered electrons forming a high contrast aerial image at the wafer plane. This allows
very fine patterns to be delineated in the mask wafer and also assures high contrast (95 %).
It has been claimed by the developers of the SCALPEL system that a throughput of 25 wafers of 300
mm diameter per hour will be sustainable (at least for feature sizes of 50 nm) [Liddle, 1999].

3.1.1.3.3.2 PREVAIL Projection reduction exposure with variable axis immersion lenses
The PREVAIL system, developed by IBM [Dhaliwal, 2001],[Pfeiffer, 2002], uses many of the ideas
from SCALPEL, as for example the scattering mask. PREVAIL carries the SCALPEL concept further
by combining electronic beam scanning with continuous stage motions. This approach provides the
larger effective field size needed to achieve commercially viable throughput levels.
Working principle:
The PREVAIL system achieves higher throughput by shifting the main optical axis of the electron
beam through the use of a system of variable axis lenses, which electronically shift the electron optical
axis simultaneously with the deflected beam so that the beam effectively remains on-axis. This enables
a more rapid motion of the beam from cell to cell while reducing at the same time the dependence on
slow mechanical components. The lens axis follows the beam axis thus reducing aberrations. A sub-
field, which is small compared to the full field but large compared to the pattern features is projected
onto the wafer. Small mask areas avoid aberrations and space charge effects are minimized.
Setup:
The basic setup of the PREVAIL system as illustrated in Fig.1.1. 24 includes 1) an electron source or
gun, 2) a first lens system to form a square shaped beam, 3) a second lens system to provide illumina-
tion of individual square subfields of the mask with
the square beam of essentially the same size (illu-
minator), 4) a mask / reticle, 5) a means to scan the
beam over multiple subfields, 6) a third lens system
for projecting the subfields, reduced in size onto
wafer (collimator and projector) while maintaining
image quality and accurate stitching at the same
time.
For shaping and positioning the beam, magnetic
fields are used for the lenses, deflectors and correc-
tors. High speed electronic deflectors control the
exposure moving the beam on and off a beam stop
with a pass through aperture. Fig.1.1. 24 Schematic of the PREVAIL system
Mask:

30/11/2005 Dissemination level : Public Page 36/273


IST-017255 MONA Deliverable D1.1 (M4)

The mask is mounted on a movable precision stage between illumination and imaging section, while
the waver is mounted on a similar stage below the imaging section. Column and stage chambers are
under vacuum. Except for the vacuum conditions the column and mechanical systems resemble optical
scanners, while control systems are more sophisticated.
For an acceptable throughput, a large scan range is required, as well as maximized size of subfields,
maximized beam current for minimum exposure times. The large scan range is realized by application
of the variable axis lens principle, where the lens axis is laterally shifted by superimposing a (cylindri-
cally symmetric) magnetic deflector field over the lens field.
Concerning the mask, a patterned membrane is used in order to avoid serious over-heating. A high
beam energy of 100 kV had to be chosen to enable the penetration of electrons through membranes
which have to be thick enough to be stable over the entire mask (several cm2), while a network of
struts, located between the individual subfields, is used for stabilization. In contrast to the thin 100 nm
membrane masks used in SCALPEL, the PREVAIL mask membrane can be thicker than 1000 nm
[Dhaliwal, 2001].
[Dhaliwal, 2001] D. S. Dhaliwal et al., PREVAIL - Electron projection technology approach for next generation lithography, IBM Journal

Res & Dev 45, 615 (2001).

[Kruit, 1998] P.Kruit, High throughput electron lithography with the multiple aperture pixel by pixel enhancement of resolution concept, J.
Vac. Sci. & Tec. B 16, 3177 (1998).

[Baylor, 2002] L.R. Baylor et al., Digital electrostatic electron-beam array lithography, J. Vac. Sci. & Tec. B 20, 2646 (2002).

[Canon, 2002] P. Ware, Canon Inc., spie’s oemagazine, march 2002, find article at
http://oemagazine.com/fromTheMagazine/mar02/removingthemask.html .

[Liddle, 1999] J. A. Liddle et al., SCALPEL: A projection electron-beam approach to Sub-Optical Lithography, Technology Review Decem-
ber 1999, find review at http://www.bell-labs.com/project/SCALPEL .

[Lockwood, 2001] H.F. Lockwood et al., Massively parallel direct write E-beam system, find talk at

http://www.sematech.org/resources/litho/meetings/ngl/20010827/06_emissionsystems.pdf.

[Miura, 2002] T. Miura et al., Nikon EPL Tool Latest Development Summary, article in Future Fab Intl. 13

http://www.future-fab.com/document.asp?d_id=1322, 7/8/2002.

[Nanolith, 2003] NANOLITH, Arrays of microguns for parrallel e-beam lithography, IST-programm, project funded by the European

Community, 1999-2003.

[Park, 2005] K. H. Park et al., Advanced nanosphere lithography for the areal-density variation of periodic arrays of vertically aligned

carbon nanofibers, J. Appl. Phys. 97, 024311 (2005).

[Pfeiffer, 2002] H. C. Pfeiffer et al., PREVAIL - IBM’s E-Beam Technology For Next Generation Lithography, article in Future Fab Intl. 12:

http://www.future-fab.com/documents.asp?d_ID=918 , 2/2/2002.

[Raith] http://www.raith.com/WWW_RAITH/nanolithography/nano_faqs2.html#4

30/11/2005 Dissemination level : Public Page 37/273


IST-017255 MONA Deliverable D1.1 (M4)

3.1.1.4 X-ray Lithography (XRL)


In XRL soft X-rays with short wavelength between 0.01-1.0 nm are used to transfer the pattern of a
mask in an 1:1 proximity projection onto a wafer surface. These small wavelength of X-rays in most
materials provide high resolution.
Illumination optics, but no opti-
cal reduction system is required.
Sharp walled structures can be
generated by XRL.
Setup:
A synchrotron source is required
for the generation of the X-rays.
The illumination optical system
directs the monochromatized
Fig.1.1. 25 Schematic view of the proximity X-ray lithography process; from [max-
beam coming from a synchrotron lab].
source towards the mask. The
mask has to be of extremely high quality, since every defect or non-uniformity would be transferred in
a 1:1 fashion to the structure to be patterned. Positioning and stability of the mask are also highly chal-
lenging. In order to provide authentic imaging of the mask pattern the mask has to be located in close
proximity to the wafer, while the mask-wafer distance has to remain constant. The stability of the
mask (position) can be significantly reduced by inner strains of the mask material, by thermal impact
during illumination or simply by the effect of gravitation.
An X-ray stepper is a simplified version of an optical stepper. It does not need the large and complex
imaging lens system and the mask is held in close proximity of the wafer which facilitates the align-
ment. The X-ray stepper is based on a sophisticated mechanical stage, held vertical to match the pat-
tern of the synchrotron radiation. As indicated schematically in Fig.1.1. 25, the alignment of the mask
to the substrate can be realised using alignment marks on the substrate aided by visible light and the
corresponding alignment optics. A shadow of the mask pattern is then cast onto the resist surface by
the synchrotron radiation.
Resolution:
The resolution limit in X-ray lithography is determined by Fresnel diffraction at the mask pattern and
by additional scattering of the photoelectrons generated in the resist material. The scattering of the
photoelectrons depends on the mean free path of the electrons which increases with decreasing wave-
length. The minimum producible feature size decreases with decreasing mask-wafer gap sizes and on
the one hand with decreasing wavelength due to Fresnel diffraction, on the other hand with increasing
wavelength due to scattering of the photoelectrons. The optimum wavelength for generating minimum
features lies between 0.5-1 nm [Haefer, 1991].
Mask:
The mask is the heart of the X-ray lithography process. Since no lens system is used, it combines pat-
tern and optical system, and the basic mask structure is dictated by the optical properties in the X-rays.
In general, the mask is fabricated starting from a 3" or 4" silicon wafer, using different techniques, but
the fundamental structure of a mask is the same: a thin, uniform membrane which is patterned, is
mounted on a structurally rigid holding frame. The transparent part, the carrier, must be transparent
enough to allow for fast exposures, and yet be able to withstand handling and radiation damage. In
general, the membrane, i.e. the mask substrate will be about 1 to 2 µm thick and made of low-Z mate-

30/11/2005 Dissemination level : Public Page 38/273


IST-017255 MONA Deliverable D1.1 (M4)

rials for high transmission. Currently used mask membranes are fabricated with silicon, silicon nitride,
or silicon carbide, while gold or tungsten (high-Z materials) are used as absorbers. The materials cho-
sen for mask substrates and absorber materials should provide a contrast in transmittance of at least
50%.
Resists:
Electron sensitive maerials are employed. When X-rays are absorbed, photoelectrons are generated,
which lead to the exposure of the resist materials. Therefore resists which can be used for electron
beam lithography may also be used for X-ray lithography. One example is PMMA.
Applications:
XRL using PMMA resists may be used for the production of high printing moulds, which are needed
for the production of very high resolution impressions (see chapter 1.2).
Further the applications could include integrated circuit manufacturing, as well the fabrication of two
dimensional photonic crystals [Romanato, 2002]. But even though the potential throughput of an XRL
tool may be as large as 120 wafers per hour [Bourdillon, 2003], the necessity of a synchrotron source
is not acceptable for most industrial applications.
[Bourdillon, 2003] A.J. Bourdillon et al., Near field x-ray lithography simulations for printing fine bridges, J.

Phys. D Appl. Phys. 36, 2471 (2003).

[Haefer, 1991] R. A. Haefer, Röntgenstrahl-Lithografie in Oberflächen- und Dünnschicht-Technologie Teil II, Springer-Verlag (1991).

[Romanato, 2002] F. Romanato et al., Fabrication by means of x-ray lithography of two-dimensional GaAs/AlGaAs photonic crystals with an

unconventional unit cell, Nanotechnology 13, 644 (2002).

[maxlab] http://maxsun5.maxlab.lu.se/beamlines/bld811/status.html

3.1.1.5 Ion beam Lithography


Similar to electrons, also
ions can be used to litho- FIB Ion Source with Extractor IPL
graphically pattern struc-
Beam Alignment
tures on suitable sub- Beam Blockage
strate surfaces. Ion direct Ion Beam
Ion Mask
write methods, such as Mass
Separator
focused ion beam lithog- Immersion
lens
raphy (FIB), exist as well Undesired
Ions
as projection ion beam
Aperture
lithography. Ion lithog- Beam
Alignment
raphy is considered as an Objective
Lenses
alternative to electron Projection
Aperture
beam lithography for two Lenses
Deflection
reasons: 1) resist materi- Electrodes Resist Coated
Substrate
als are far more sensitive
to ions than to electrons, Y
X
2) proximity effects due
to scattering of the ions Fig.1.1. 26 Schematic setup for a FIB tool (left), an IPL tool (right)
at the wafer/resist inter-
face are reduced. In contrast to optical lithography, where the resolution is diffraction-limited, and to
e-beam lithography, where the resolution is limited by lateral scattering effects, the resolution limit for

30/11/2005 Dissemination level : Public Page 39/273


IST-017255 MONA Deliverable D1.1 (M4)

patterned structures using ion beams is estimated to be of the order of 30 nm. The limit is determined
by lateral scattering of the ions in the resist material and the mean free path of the secondary electrons
produced by the ions on their way through the resist layer.

3.1.1.5.1 Focussing Ion beam Lithography (FIB)


Setup and working principle:
FIB is a maskless direct write lithography method. In FIB, a focussed ion beam is led across the wafer
to be patterned. The setup, as illustrated in Fig.1.1. 26, consists of an ion source in combination with
an extracting electrode to generate the ion beam, a voltage applied to a set of capacitor plates is used
for beam alignment, while another set of capacitor plates serves to block the beam where required. A
mass separator removes undesired ions by applying crossed electric and magnetic fields. The ion beam
is focussed onto the resist coated wafer and the desired pattern is produced at the wafer surface using a
deflector system to direct the beam. The wafer is mounted on a stage which can be moved in two di-
rections.
Sources:
Typically plasma sources or gas/liquid-metal field emission sources are used.

3.1.1.5.2 Ion Projection Lithography (IPL)


Setup and working principle:
In IPL a (demagnified) mask pattern is projected onto the wafer substrate. The ions passing through
the mask openings are accelerated when passing an immersion lens (see Fig.1.1. 26, right). The pro-
jection lenses generate an image of the mask pattern which is demagnified by a factor of 5-10. Usually
a stencil mask is used which is made from a 2-5 micron layer of borated silicon.
Source:
A Duoplasmatron, which produces H, N; He, Ne, Ar and other ions, may serve as ion source. It is a
gas-fed ion source capable of producing positive or negative ion beams. The working principle is
based on a two-stage discharge. In the first stage electrons are emitted from a filament and directed
into a second chamber containing the flowing gas by means of a confining magnetic field. The ionized
gas starts a secondary discharge between an intermediate electrode and the anode. Since the source is
kept at ±20 to 50 kV (depending on positive or negative operation) the ions are accelerated towards the
extraction plate, kept at ground potential, forming an ion beam.
The source is usually combined with a mass separator which blocks undesired ions.
IPL in conjunction with the electrostatic step exposure (ESE) technique [Loeschner, 2003a], which
enables sub-nanometer precision in shifting the ion image on the wafer substrate by electrostatic de-
flection. This technique enables the production of oblique lines. Using this technique, small and large
structures may be printed with a single stencil mask instead of using an overlay of complementary
stencil masks to realize complex device patterns.

Projection Focused Ion Multibeam (PROFIB): The PROFIB concept is based on the FIB technology
described above, but in PROFIB an incident telecentric ion beam (typically He+) is patterned by means
of a stencil mask. The stencil mask is mounted above the 200x electrostatic reduction optics. Sub-
10nm periodic structures may be generated at short exposure times thus enabling high throughputs.
PROFIB may be used in lithography mode as well as for direct writing [Loeschner, 2003b].

30/11/2005 Dissemination level : Public Page 40/273


IST-017255 MONA Deliverable D1.1 (M4)

Ion Projection Direct Structuring (IPDS):


In IPDS, the wafer surface is patterned in a resist-less, contact-free, single-shot exposure. Instead of
using light He ions, heavier ions, such as Ar are used enabling smaller doses. For example for doses of
1014 ions/cm2, exposure times of the order of a few seconds can be achieved. Ar gas is fed to the ion
source. The heart of the IPDS tool is an electrostatic condenser optical system, which generates a
broad homogeneous ion beam. The latter illuminates a stencil mask membrane constituting an objec-
tive plane incident to the following electrostatic 4x reduction optics. At the site of the extractor the
extraction optics is shaped in such way that a virtual ion source is formed with a beam diameter of
about 10 microns and a point in the stencil plane is therefore illuminated with a beam having a very
small cone angle leading to a numerical aperture of the order of 10-5. But even with the aforemen-
tioned small numerical aperture the diffraction limited resolution only is about 1nm, since the ion par-
ticle wavelength is of the order of a few 10-5 nm. An optical system which can be based on small nu-
merical apertures, enables large exposure fields thus increasing the potential throughput [Loeschner,
2003b].
MIBL Masked Ion Beam Lithography
As illustrated in Fig.1.1. 27, the
basic setup for the 1:1 masked ion
beam techniques MIBL and MIBS
(Masked Ion Beam Direct Struc-
turing) consists of the ion source,
an injection unit formed by a pair
of electrostatic lenses, the con-
denser optical system composed
of multiple electrodes, movable
stages for wafer, a stencil mask
and load locks for wafer/mask
transfer. A prototype system has
been presented [Loeschner,
2003c] with a distance between
ion source anode and stencil mask Fig.1.1. 27 Schematic of a MIBL/MIBS tool, taken from
[Loeschner, 2003a]
of about 1m and an exposure field
of 50 mm x 50 mm. Using this prototype system homogeneous ion beams, 70 mm in diameter, have
been generated. The resolution limit of the MIBL optics depends on the gap between stencil mask and
substrate. But due to the extremely small beam divergence the practical resolution limit is dominated
by scattering of the ion beam at the openings of the stencil mask and is determined by the quality of
the stencil mask.
MIBS Masked Ion Beam Direct Structuring
In MIBS the MIBL tool is used to modify the substrate material properties by direct sputtering or ra-
diation damages. Therefore the substrate can be patterned directly without using a resist avoiding the
problems related to resist materials (e.g. interdiffusion at the resist/substrate interface). Sub 20 nm
resolution within 80 mm exposure fields have been reported [Loeschner, 2003a].
Application - patterning of curved surfaces
Due to the extremely small divergence angle of the beam, non-planar or curved surfaces can be pat-
terned with masked ion beam proximity techniques. Projecting a stencil mask opening onto a curved
surface means that the current density at the resist surface decreases with increasing curvature. Fur-
thermore the sputtering yield varies over the radius of a curved surface. In order to achieve homogene-

30/11/2005 Dissemination level : Public Page 41/273


IST-017255 MONA Deliverable D1.1 (M4)

ous patterning, the ion beam intensity is radially increased by properly adjusting the voltage of the
electronic lenses in the injection unit.
Issues:
Unfortunately adjustments of the beam intensity result in non-uniform heating of the substrate, which
causes distortions of the stencil mask membrane. As a possible solution, it has been proposed to use a
light beam with complementary intensity distribution in order to compensate the power density at the
stencil mask. However this has not been established yet [Loeschner, 2003c].
Ion Beam Resists:
Most ion beam resists are composed of polymer chains. Certain optical resists can also be used. Sev-
eral ion beam resists are self-developing in that exposure to the ion beam completely disintegrates the
substance.
Positive Resists
Positive ion beam resists break down into less complex fragments upon exposure to an ion beam. Be-
cause the less complex fragments have a lower molecular weight, they are more soluble by the devel-
oper than the unexposed resist.
Negative Resists
Negative resists form complex molecular links when exposed to the ion beam, and thus become in-
soluble in the original solvent, which removes the unexposed resist. Certain inorganic resists can also
be used, such as a layer of GeSe onto which AgSe has been evaporated, which may act as negative
resist. When exposed to the beam, the GeSe layer is filled with AgSe, and the combination can then be
developed.
[Haefer, 1991] R. A. Haefer, Ionenstrahllithography in Oberflächen-und Dünnschicht-Technologie, Teil II, Springer-Verlag 1991.

[Loeschner, 2003a] H. Loeschner et al., Large-Field Particle Beam Optics for Projection and Proximity Printing and for Mask-Less

Lithography (ML2), JM3 SPIE’s Journal of Microlithography, Microfabrication and Microsystems 2, 34-48 (2003).

[Loeschner, 2003b] H. Loeschner et al., Ion projection direct-structuing (IPDS) for nanotechnology applications., Proc. MRS Vol. 739, 3 -
12 (2003).

[Loeschner, 2003c] H. Loeschner et al., Masked IonBeam Llithography and Direct-Structuring on Curved Surfaces, Proc. SPIE Vol. 5037,
156-161 (2003).

3.1.1.6 Atom Lithography


In projection optical lithography
material masks are used to modify
a light beam, which consist of a
suitable substrate coated with a
pattern of an absorbing material. In
atom lithography a light mask is
used to pattern an atomic beam.
The light mask for 1-dimensional
periodic structures can be realised
by creating an optical standing
wave using mirror reflection and Fig.1.1. 28 Schematic of atom lithography: individual atoms from a collimated
superposition of a laser beam. The monochromatic atom beam travel through a light mask generated from a standing wave.
The atoms experience a force towards the regions with low intensity, i.e. each node of
frequency of the standing wave the standing wave acts as a microlens, focussing the atoms to a single spot onto the
must be tuned to a frequency near substrate; from [Sligte, 2003].

30/11/2005 Dissemination level : Public Page 42/273


IST-017255 MONA Deliverable D1.1 (M4)

to an atomic resonance frequency of the atoms used. The working principle is schematically illustrated
in Fig.1.1. 28.
Resolution:
The fundamental resolution limit in atom lithography is set by the quantum mechanical de Broglie
wavelength of the atom which is typically blow 0.01 nm. Charged particle interactions also do not play
a role in atom lithography. However, only atoms, which pass the light mask in a region close to the
intensity nodes of the standing wave, are focussed properly, while the non focussed atoms form back-
ground layers. These background layers can be avoided using a mechanical beam mask (fabricated e.g.
by e-beam lithography), as illustrated in Fig.1.1. 28, with apertures that block those parts of the
atomic beam that will not enter the light mask at regions close to the intensity nodes.
Minimum structural width of 20 nm have been reported for chromium atoms [Anderson, 1999].
Setup:
As illustrated in Fig.1.1. 28, the basic setup consists of a source, located in the source chamber which
is held at pressures of about 10-6 mbar, a laser cooling system to collimate the atomic beam, a me-
chanical mask to block parts of the atomic beam, a laser system to generate the standing wave which
acts as an array of microlenses. The source chamber is connected to a vacuum chamber held at a base
pressure of 10-10 mbar.
The standing wave contains regions where the intensity of the light changes rapidly over small dis-
tances and the large intensity gradients lead to forces on the individual atoms. The standing wave then
induces electrical dipoles in the atom, while the energy of the atom in the light field is proportional to
the light intensity. The properties of the light can be chosen such that the atoms are attracted to the
regions of the lowest intensity acting as microlenses which focus the atoms to certain spots of the focal
plane, where the substrate should be placed. From the increased atomic deposition rate at these focal
spots develops a periodic structure, whose periodicity corresponds to that of the standing light wave. A
pattern of nano-sized lines or dots can be produced using this technique depending on whether 1-D or
2-D standing waves are used. Due to the low thermal speed of the neutral atoms no surface damage is
to be expected.
Sources:
Thermal effusive sources consist of an oven with a small exit hole. Inside the oven there is the source
material which has to be heated sufficiently that a small amount of the source material has a non-
negligible vapor pressure (about 0.1mbar). The vaporized material then leaves the oven via the hole
into the vacuum chamber forming the atomic beam. However, the velocity spread in these thermal
sources is quite large. The generation of a monochromatic atomic beam is not trivial. Supersonic
sources provide better monochromaticity than thermal sources. For this purpose the pressure inside the
oven is increased by adding a high pressure seed gas, such as Ar gas, which carries the source material
into the vacuum by expansion through a nozzle. This causes the source material beam to become su-
personic decreasing the velocity spread and improving the monochromaticity [Bosch, 2000].
Another possible variant of atom lithography is to use excited noble gas atoms (metastability of the
excited state is required) which undergo de-excitation as they collide with the substrate surface. The
surface is to be coated with an appropriate resist layer. For the generation of metastable excited noble
gas atomic beams also glow discharge sources may be used [Baker, 2004].
Applications:
Magnetic storage devices / periodic structure devices can be produced, but the tiny overall sample area
(150 microns x 150 microns) employed in present (research) systems is improbable for industrial pur-
poses in the short term.

30/11/2005 Dissemination level : Public Page 43/273


IST-017255 MONA Deliverable D1.1 (M4)

[Baker, 2004] M. Baker et al. , Lithographic pattern formation via metastable state rare gas atomic beams, Nanotechnology 15, 1356 (2004).

[Bosch, 2000] R.C.M. Bosch et al., Atom lithography boosts nano-magnetics, Vacuum Solutions, issue March/April 2000.

[Anderson, 1999] W. R. Anderson et al., Phys. Rev. A 59, 2476 (1999).

3.1.2 Nanoimprint lithography and soft lithography

Nanoimprint lithography (NIL) has


been added to the ITRS as a next gen-
eration lithographical technique in
2003. The parameters in the classic
photolithography resolution formula
(k1, NA, and λ) are not relevant to NIL,
soft lithography and related techniques,
because these technologies do not use Fig.1.2. 1: Schematic of NIL -process; taken from http://lmn.web.psi.ch/
reduction lenses. Investigations by sev-
eral groups (see e.g.[MII], [Chou,
1996b]) in the sub-100 nm regime indicate that the resolution is only limited by the pattern resolution
on the template. If a transparent stamp is used, UV-light can be used to cure a suitable photosensitive
elastomeric material (instead of heating the patterned photoresist), thus avoiding high pressures or
high temperatures which might cause damages. This variant of nanoimprint lithography is called UV-
NIL, a variant is Step and Flash imprint lithography (S-FIL). In the following paragraph the basic im-
print process will be described together with the most relevant variants, such as hot embossing, S-FIL,
micro contact printing (μCP), nano transfer printing (nTP), where hot embossing and S-FIL, both NIL
techniques, use hard pre-patterned templates, made of silicon or fused silica for pattern transfer, while
μCP and nTP use soft elastomeric stamps (“soft” lithography).

3.1.2.1 Nanoimprint lithography (NIL)

3.1.2.1.1 NIL- hot embossing


Nanoimprint lithography has initially been proposed and developed by the Chou group in the mid-
1990s [Chou, 1996]. In NIL a hard mould is used, made of silica or fused silica and with predefined
features on its surface, which previously has been fabricated by electron beam lithography or other
traditional lithographic techniques. The mould is used to emboss the desired pattern under controlled
pressure (typically 60-200 bar) and temperature (up to 250°C) conditions into some polymeric (ther-
moplast) material, which previously has been deposited on a hard substrate typically by spin-coating.
The elevated temperatures are necessary to lower the viscosity of the polymeric material. As illustrated
in Fig.1.2. 1, the pattern can be further transferred to the substrate via plasma based anisotropic etch-
ing processes.

3.1.2.1.2 UV-NIL
Instead of using thermoplast materials and subjecting them to high temperatures after embossing the
stamp into it, other variants of NIL use UV-sensitive polymeric (monomers, oligomers) materials with
low viscosity. The solidification occurs via irradiation with UV-light. Obviously a UV-transparent
stamp, e.g. made of silica or fused silica, has to used.
S-FIL
Step and Flash Imprint Lithography (S-FIL™) technology is one patented UV-NIL variant, which was
developed at the University of Texas at Austin by the Willson group [Colburn,1999]. The technique is

30/11/2005 Dissemination level : Public Page 44/273


IST-017255 MONA Deliverable D1.1 (M4)

based on embossing. Similar to other NIL meth-


ods, S-FIL uses hard moulds, such as fused silica
templates with a (circuit) pattern etched into them.
The fused silica surface is first covered with a
release layer to ensure release at the etch bar-
rier/template interface. An example for such a
surface modifying agent are fluorocarbon silylat-
ing materials. The (silicon) wafer is then coated
with a transfer layer which has to adhere tena-
ciously to both, the silicon wafer and the subse-
quently introduced etch barrier. The transparent
template with its surface relief patterns is closely
approached to the coated substrate. As illustrated
Fig.1.2. 2 Schematic of (a) NIL and (b) S-FIL; taken from
in Fig.1.2. 2(b), droplets of a photopolymerizable [Guo,2004]
organosilicon solution with low viscosity (etch
barrier) are introduced subsequently into the gap between template and substrate before gently press-
ing them together closing the gap. Illuminating the assembly with UV radiation cures the photopoly-
mer into a hard material [Guo, 2004]. Upon separation of the fused silica template, the circuit pattern
is left on the surface. The solidified material is a Si rich replica of the template. A residual layer of
polymer between features is eliminated by etch processes. Perfect replicas of the pattern can be used
e.g. in semiconductor processing. Only the template fabrication process, typically accomplished with
an e-beam writer, limits the resolution of the features. Features as small as 20 nm have been reported
[Guo,2004] [Resnick,2005]. The active print area of stamps used for S-FIL is currently about
26*32mm2. For this purpose step and repeat processing in conjunction with alignment steps to match
the individual printed fields have to be employed. This implies a relatively slow throughput of cur-
rently about 5 (200 mm) wafers per hour. Different stepper tools based on the S-FIL process are com-
mercially available [MII].
Special applications:
Fabrication of photonic crystals using NIL [Schift, 2005].

3.1.2.2 Soft Lithography


In addition to hot embossing and S-FIL there exists a variety of soft imprinting technologies which use
soft moulds fabricated from elastomeric materials instead of using hard silica or fused silica templates.
The basic process is as simple as coating a substrate with an elastomeric material and embossing the
desired nano-scaled features into the polymer using a pre-patterned mould. The elastomeric material is
then solidified under controlled pressure and temperature conditions. Once a solid stamp with a
nanorelief has been fabricated, it can be used for the replication of many identical surface patterns.
Elastomeric Materials:
An example for a durable and chemically resistant elastomer, which conforms reversibly to different
surfaces, is PDMS (poli-dimethylsiloxane), which is optically transparent down to wavelength of 280
nm; other examples are copolymer thermoplastics and fluoro-carbon modified siloxanes [Gates, 2005].
A schematic illustration of the different moulding / printing techniques, described in the following
sub-sections, is given in Table 1 at the end of this section.

3.1.2.2.1 Moulding / Replica moulding:


In a moulding process, topographic patterns are transferred from one material to another by curing or
solidifying a liquid precursor against the original patterned substrate. Therefore a master has to be

30/11/2005 Dissemination level : Public Page 45/273


IST-017255 MONA Deliverable D1.1 (M4)

fabricated at first using traditional lithographic techniques. The pattern on the master is transferred to
e.g. PDMS by curing a PDMS pre-polymer in contact with the master and subsequently releasing the
PDMS stamp from the master. Finally a liquid precursor is solidified against the PDMS mould. The
solidified structure, e.g. a polymer, a gel, a precursor to ceramics, a luminescent phosphor, is released
from the mould and an isolated replica of the master is obtained. The elastomeric (PDMS) mould re-
leases easily from both, the master and the replicas without causing any damage to either surface. The
ultimate resolution when using PDMS moulds is still unknown and possibly limited by capillary and
van der Waals forces.
As a result from the EU-project SOUVENIR, a cost-effective soft-imprint stepper tool is being devel-
oped based on an UV-soft-NIL process and capable to produce 50 nm features. Except for using an
elastomeric stamp, the process is rather similar to the S-FIL process. The stepper tool is planned for
market entry in 2006 [http://www.soft-uv-nanoimprint.com/].
μTM micro transfer moulding
A variant technique, μTM micro transfer moulding, is used to produce isolated features from a topog-
raphically patterned master, transferring the topographical recesses of the master to another substrate.
This is achieved by filling the recesses of the mould with a polymeric precursor and removing the
excess material. After placing the mould together with the pre-polymer-filling on a suitable substrate,
the polymer is cured. UV-curable polymers may be used in conjunction with non-transparent sub-
strates when using PDMS moulds. Thus complicated three dimensional structures may be created re-
peating this process in a layer by layer process. Using μTM also curved surfaces can be patterned. A
disadvantage of the technique is that there can remain residual polymer connections, which have to be
removed by additional etching processes.
MIMIC micromoulding in capillaries:
Another variant for the fabrication of isolated features is MIMIC (micromoulding in capillaries). This
technique uses a patterned PDMS mould, where the pattern forms a channel-like network. The mould
is placed on a solid substrate and a liquid is introduced at one end of the channels. The liquid then fills
the channels due to capillary forces. Thus either material can be deposited at the proper places on the
substrate or the substrate may be chemically modified due to etching processes, depending on the liq-
uid used. Features below 100 nm are usually not achieved with this technique.
SAMIM solvent-assisted micromoulding:
Another embossing technique is solvent-assisted micromoulding SAMIM. In SAMIM a solvent is
used to restructure a polymer film by swelling or dissolving the polymer. The process is conducted at
room temperature avoiding thermal cycling of the substrate, it saves time and does not lead to serious
oxidation. Either the polymer film or the PDMS mould is coated with the organic solvent material
which softens the film. Mould and film are brought into contact and the softened polymer adapts the
form of the mould. Since PDMS is permeable for gases, the solvent can pass and evaporate through
the PDMS mould. During evaporation the restructured polymer film hardens and the complementary
pattern is formed. The throughput is limited by the speed of the evaporation processes.

3.1.2.2.2 Printing with soft stamps:


Printing techniques use a topographically patterned stamp to project a pattern onto a surface. Elas-
tomeric stamps can print colloids, dendrimers, organic reactants and proteins. Continuous and
discontinuous structures can be transferred on different surfaces.

30/11/2005 Dissemination level : Public Page 46/273


IST-017255 MONA Deliverable D1.1 (M4)

μCP microcontact printing


In μCP an ink is used to cover an elastomeric stamp with a pre-patterned topography. The ink transfers
onto a substrate surface due to chemisorption or physisorption forming a pattern defined by the raised
regions of the stamp. In a chemisorption process a PDMS
stamp coated with an alkane-thiol ink may be used to pattern
for example a Au film. Upon contact with the Au, the thiol
groups bind to the Au surface and van der Waals interactions
direct these molecules to form dense self-assembled monolay-
ers (SAMs). Also silane and phosphonic terminated alkane
chains can be transferred to oxidized surfaces. Planar and
non-planar surfaces can be patterned over large areas using
this technique. Printed SAM patterns also can protect a sub-
strate during subsequent etch steps.
However, the recessed features of the printed pattern may be
deformed on the nanoscale, which can be minimized by back-
ing a thermally cured PDMS layer with a softer PDMS layer Fig.1.2. 3 Comparison of metal coatings on
top of an organic material fabricated by (a)
thus forming a composite stamp which retains the nanoscale evaporation (b) by nTP; taken from [Hsu,2005].
topographic pattern [Rogers, 2005] [Gates, 2005].
nTP nanotranfer printing
In a variant technique, named nTP, thin solid films are transfered from a PDMS stamp to another sur-
face in one step avoiding harsh solvents. After contacting the coated stamp with the surface to be pat-
terned the film is released from the stamp as continuous structure or
in the form of isolated features. The film transfers to the printed
surface by covalent binding to reactive SAMs, by cold welding be-
tween two metal layers or due to condensation between silano and
titanol groups.
Developers and etchants are not needed during pattern transfer. This
technique is well suited to be applied in layer-by-layer processes
producing complex 3D patterns. Furthermore the transfer of pat-
terned metal films to a fragile surface avoids exposures to high tem-
peratures which usually have to be applied during traditional vapor
deposition methods. Vapor deposition of metals can damage, pene-
trate and replace organics, which may generate luminescence
quenching centers and pinholes in organic optical devices, as illus- Fig.1.2. 4 Schematic of OLEDs
formed by ScL (a) PDMS stamp
trated in Fig.1.2. 3(a). In addition organic materials cannot survive supporting thin conducting Ti/Au
high temperature annealing conditions. Since atomically sharp inter- electrodes (b) van der Waals forces
pull the electodes into intimate
faces are required in high sensitive organo-electronic devices, alloy- contact when brought together; taken
from [Hsu,2005].
ing interlayers have to be avoided. Organic thin film transistors may
also be generated using this technique as well as electrical connections to fragile surfaces, such as
OLED surfaces. In addition nTP represents a superior method for fabricating metal electrodes in plas-
tic electronics. The conduction in nTP fabricated diodes occurs through molecules with fewer shorting
problems [HSU,2005].
ScL soft contact lamination
ScL is very similar to nTP. As in nTP an elastomeric stamp is fabricated by casting and curing a
PDMS pre-polymer against e.g. a Si wafer whose features are predefined by a photoresist. A thin
metal film can then be evaporated on the PDMS stamp. The difference between ScL and nTP lies in

30/11/2005 Dissemination level : Public Page 47/273


IST-017255 MONA Deliverable D1.1 (M4)

the relative metal-organic adhesion properties: For nTP, metal-organic adhesion is stronger than
metal-stamp adhesion. Wherever a contact is made the metal pattern is transferred to the organics.
Multiple parallel device fabrication of nanometer sized features on flexible substrates is possible and
neither radiation nor chemicals have to be used.
In ScL the metal-stamp adhesion is stronger and the technique is well suited for the fabrication of
OLEDs. Bringing the metal-coated elastomeric stamp into contact with the organic stamp material,
reversible electrical contacts can be generated avoiding alloying interlayers, because there exist only
weak van der Waals interactions between the organic and the metal coating (serving e.g. as cathode in
OLED devices) on the PDMS stamp. The metal pattern remains on the PDMS without being trans-
ferred to the organic material. Bringing the bottom part (i.e. the substrate covered with ITO (Indium
Tin Oxide) electrode and topped with a functional electro-luminescent (EL) layer) of an OLED into
contact with PDMS coated with a metal electrode, as shown in Fig.1.2. 4, initiates a wetting front that
progresses across the layer until finally the whole surface has contact [Lee, 2004].
In summary, a combination of ScL, nTP and near-field optical lithography can be used to define elec-
trodes with dimensions of 150 nm [Hsu,2005]. In order to produce e.g. the top metal electrodes in
OLED devices, the metal coated PDMS stamp is brought into contact with the organic layer at ambi-
ent conditions.
e-μCP electrical micro contact printing
In e-μCP a pattern is transferred to materials which accept/maintain an electrostatic potential, so called
electrets, such as PMMA (poly-methyl-methacrylate), deposited on a (semi-)conductive substrate. A
PDMS based electrode is brought into contact with the electret. Applying a voltage between the metal
layer on the stamp and the dielectric coating changes the electrostatic potential of the electret in con-
tact with the flexible electrode. The current achievable resolution of the patterns generated is about
100 nm.
Applications:
Elastomeric stamps are useful for the production of nano-scaled organic devices, such as e.g. OLEDs
or thin film electrical contacts. They provide particular adhesion properties which avoid alloying at the
interface and low damage due to a gentle preparation process at moderate environmental conditions
(temperature, pressure).

3.1.2.2.3 Edge lithography


Edge lithography also employs elastomeric stamps and is based incident UV-light whose phase is
shifted at the vertical edges of topographic patterns within PDMS stamps. Suitable photoresists can be
patterned with nano-scale features. While phase shift photolithography uses rigid masks, a PDMS
phase shift mask is simple and inexpensive to fabricate. The transparent PDMS stamp in contact with
the photoresist induces abrupt changes in the phases of light. Constructive and destructive interference
arise at the edges of the stamp and trenches or ridges can be formed at positions where the (positive)
photoresist is over- or underexposed. Subsequently these structures can direct the self-assembly of
nanoparticles or the crystallisation of inorganic salts.
Patterned photoresists can also be used to mask the underlying substrate during thin film deposition
processes or reactive ion etching thus transferring the pattern. Free standing single-crystalline nanos-
tructures of Si and frequency selective optical filters can be fabricated using this method.
Other nanostamping techniques based on DNA material [Yu, 2005] have also been reported.

30/11/2005 Dissemination level : Public Page 48/273


IST-017255 MONA Deliverable D1.1 (M4)

Stamp Fabrication +
Embossing

Table 1 Schematic illustration of different moulding and printing techniques, from [Gates, 2005].

[Chou, 1996] S.Y. Chou et al., Imprint Lithography with 25-Nanometer Resolution Science 272, 85 (1996).

[Chou, 1996b] S.Y. Chou et al., Nanoimprint Lithography, J. Vac. Sci. Technol. B 14, 4129 (1996).

[Colburn,1999] M Colburn et al., Step and Flash Imprint Lithography: A new approach to high resolution patterning, Proc. SPIE 3676, 379-
389 (1999).

[Gates,2005] B. D. Gates, Nanofabrication with moulds and stamps, materialstoday 8 - 2, 44, 2005.

[Guo,2004] L.J. Guo, Recent progress in nanoimprint technology and its applications, J. Phys. D: Applied Physics. 37, R123-R141, 2005.

[Hsu,2005] J.W.P Hsu, Soft lithography contacts to organics, materialstoday 8 - 7/8, 42, 2005.

[Lee,2004] T.W. Lee, Organic light-emitting diodes formed by soft contact lamination, Proc. Nat. Acad. Sci. 101, 429-433,2004.

[MII] http://www.molecularimprints.com/Technology/technology2.html

[Resnick,2005] D.J. Resnick et al., Step & flash imprint lithography, materialstoday 8 - 2, 34, 2005.

[Rogers,2005] J.A. Rogers and R. G. Nuzzo, Recent progress in soft lithography, materialstoday 8 - 2, 50, 2005.

[Schift,2005] H. Schift et al., Fabrication of polymer photonic crystals using nanoimprint lithography, Nanotechnology 16, 261, (2005).

[Yu, 2005] A.A. Yu et al., Supramolecular Nanostamping: using DNA as Movable Type, nanoletters 5, 1061-1064, 2005.

30/11/2005 Dissemination level : Public Page 49/273


IST-017255 MONA Deliverable D1.1 (M4)

3.1.2.3 Dip-Pen Lithography


Dip-Pen Nanolithography is based on scanning probe techniques. Scanning probe methods can be used
for ultrahigh-resolution nanolithography. However, these techniques are usually not suited for pattern-
ing large areas since they are rather slow due to
the serial nature of this processing technique.
Dip-Pen Nanolithography (DPN) has been devel-
oped in 1999 at the Northwestern University,
Illinois USA. The method uses organic molecules
and molecular clusters to generate arbitrary pat-
terns with the tip of an AFM (Atomic Force Mi-
croscope) tip. In DPN chemicals are adsorbed
onto an AFM probe and deposited on a surface
via diffusion from the tip onto the wafer substrate
through a water meniscus while scanning the tip Fig.1.2. 5 Schematic showing the DPN principle. A
water meniscus forms between the AFM tip coated with an
in contact mode across the surface. ink material and the substrate (here Au) surface. The size of
the meniscus controlled by the relative humidity affects the
Setup and working principle: diffusion rate, the effective tip-substrate contact area and
the DPN resolution; from [Piner, 1999].
The basic setup consists of an AFM whose tip is
acting as a “pen” in order to write nanostructures on a substrate surface using appropriate chemicals
(the “inks”) adsorbed on the tip surface. As schematically illustrated a water meniscus filling the gap
between tip and surface enables the diffusion of the “ink” molecules to the surface. The existence of
the meniscus and the ability to use it as an ink transport medium under well defined environmental
conditions enable the controlled molecular writing on the nanometer-length scale. Ink materials have
to be chosen whose molecules favour bonds (electrostatic forces, van der Waals forces, hydrogen
bonding) to the substrate. The technique works under ambient conditions and offers 15 nm line width
resolution and 5 nm spatial resolution [Hong, 2000].
The quality of the structures generated depends upon precise control of traverse speed and dwell time
of the tip as well as of the environmental conditions, such as humidity and temperature. Accordingly
appropriate hardware and software components had to be developed.
Using DPN allows for writing and imaging structures which is an advantage over other structuring
technologies, since it allows to generate and later to locate alignment marks. This method of finding
alignment marks is less destructive than e-beam techniques and is therefore compatible with soft mate-
rials [Hong, 1999].
Ink materials:
Different organic molecules, metal salts, colloids, proteins, and other chemicals are used which tend to
interact / react (physisorption or chemisorption) with a substrate surface yielding stable monolayers.
The ink can be loaded on the tip by using a solution method or by using a vapor deposition technique
for liquids and low-melting point solids. Scanning Electron Microscope (SEM) analysis performed
before and after ink coating the tip have shown the uniformity of the coatings [Hong, 1999].
Extension: Parallel Dip-Pen Nanolithography
In order to increase the throughput, extended DPN concepts have been realised, such as using not only
one tip but an array of several tips. Passive probe arrays can be used, where only one of the tips (“im-
aging pen”) is equipped with a feed-back system, while the other tips (“writing pens”) passively fol-
low ([Hong, 2000]). The array can be either used to generate multiple patterns in parallel using a sin-
gle ink (parallel nanoplotter) or to generate a single pattern in series using multiple inks. Active probe
arrays are needed if different patterns have to be written with different probe tips. Therefore these

30/11/2005 Dissemination level : Public Page 50/273


IST-017255 MONA Deliverable D1.1 (M4)

devices contain actuators within each probe to lift individual tips off the surface. Probes with thermal
actuators contain a joule heater and some bimetallic actuator and the tip. Heating the probe lifts it from
the surface [Bullen, 2003].
Applications:
Fabrication of nano-scale electric circuits in combination with self assembly using chemical or bio-
logical assembly schemes after initiation by DPN, which adds specific local chemical functionality to
lithographically defined electrodes [Chung, 2005]; colored dye inks for optically active and arbitrarily
shaped nanostructures in optic devices [Su, 2002].
[Bullen, 2003] D. A. Bullen et al, Development of Parallel Dip Pen nanolithography Probe Arrays for High Throughput nanolithography,

Mat. Res.Soc.Symp.Proc. 578, LL4.2.1 (2003).

[Chung, 2005] S-W. Chung et al, Top-Down Meets Bottom-Up: Dip-Pen Nanolithography and DNA-Directed Assembly of Nanoscale

Electrical Circuits, www.small-journal.com, small 1, 64-69 (2005).

[Hong, 1999] S. Hong, J. Zhu C.A. Mirkin, Multiple Ink Nanolithography: Toward a Multiple-Pen Nano-Plotter, Science 286, 523 (1999).

[Hong, 2000] S. Hong and C. A. Mirkin, A Nanoplotter with Both Parallel and Serial Writing Capabilities, Science 288, 1808 (2000).

[Piner, 1999] R.D. Piner, “Dip-Pen” Nanolithography, Science 283, 661 (1999).

[Su, 2002] M. Su and V.P. Dravid, Colored ink dip-pen nanolithography, Appl. Phys. Lett. 80, 4434 (2002).

3.1.3 Etching techniques

Etching techniques are either employed to re-


move complete layers or to transfer patterns
from a mask to the underlying layer. The sim-
plest etching technology is wet chemical etch-
ing, which only requires a liquid solution dis-
solving a specific selected material. Usually a Fig.1.3. 1 Illustration of the effects of anisotropic (left) and
isotropic (right) etching.
mask is needed to selectively etch the material,
while the mask material must not dissolve or at least much slower than the material which is to be
patterned. Some single crystal materials, such as e.g. silicon, exhibit anisotropic etching in certain
chemicals. In contrast to isotropic etching, anisotropic etching implies different etch rates in different
directions inside the crystalline material. The result is e.g. a pyramid shaped hole instead of a hole
with rounded sidewalls which would result from isotropic etching. The principle of anisotropic and
isotropic wet etching is illustrated in Fig.1.3. 1. Wet etching is well suited for etching thin films on
substrates, and can also be used to etch the substrate itself. However isotropic processes will cause
undercutting of the mask layer by the same distance as the etch depth (see Fig.1.3. 1, right ). Anisot-
ropic processes allow the etching to stop on certain crystal planes in the substrate, but still results in a
loss of space, since these planes cannot be vertical to the surface when etching holes or cavities. The
importance of highly anisotropic plasma assisted dry etching techniques grows with the requirement of
decreasing feature sizes and features with sharp sidewalls. The nature of plasma assisted etching can
be physical or chemical or a combination of both. The most relevant technique are plasma based tech-
niques and their variants [Haefer, 1991], [Oehrlein, 1992], [Oehrlein, 1999].

30/11/2005 Dissemination level : Public Page 51/273


IST-017255 MONA Deliverable D1.1 (M4)

3.1.3.1 Reactive Ion etching

3.1.3.1.1 RIE reactive ion etching


RIE is a plasma supported gas chemical technique, mainly used in microelectronics industry, for
highly anisotropic etching of micron- or nanoscaled structures [Oehrlein, 1992].
Setup:
As illustrated in Fig.1.3. 2, a typical (parallel plate) RIE
system consists of a cylindrical vacuum chamber with a
wafer platter situated in the bottom portion of the cham-
ber. The wafer platter is electrically isolated from the rest
of the chamber, which is usually grounded. A gas is in-
troduced through small inlets connected to a gas manifold
which controls the gas flow of different etch gases which
can be introduced into the chamber. The gas pressure is
typically maintained in a range between between 0.001 Fig.1.3. 2 Typical parallel-plate reactive
and 1 mbar. ion etching system; from [memsnet].

RF (radio frequency) power, typically at a frequency of


13.56 megahertz and at a few hundred watts, applied to the wafer platter is used to initate a plasma: the
RF power creates an oscillating electric field that ionizes the gas molecules and generates a plasma. In
an RF cycle, the electrons are electrically accelerated in different directions striking both the upper
wall of the chamber and the wafer platter, while the much more massive ions cannot follow the RF
electric field. Since the wafer platform is electrically isolated and some of the electrons are absorbed in
the wafer platter, the latter builds up charge. A large net negative voltage of typically a few hundred
volts develops at the site of the platter. Whereas the plasma is slightly positively charged due to the
higher concentration of positive ions. Because of the large potential difference, positive ions tend to
drift toward the wafer platter to collide with the samples to be etched. The ions chemically react with
the materials on the surface of the samples. But due to their high kinetic energy they may also physi-
cally etch some material. Due to the mostly vertical delivery of reactive ions, reactive ion etching can
produce very anisotropic etch profiles enabling vertical sidewalls (depending on the energy of the
ions), which contrast with the typically isotropic profiles of wet chemical etching. Etch conditions in
an RIE system much depend on the various process parameters, such as pressure, gas flows, and RF
power.
In deep RIE (DRIE), a special subclass of RIE, etch depths of hundreds of microns can be achieved
with nearly vertical sidewalls. The primary technology is based on the so-called "Bosch process",
where two different gas compositions are alternately introduced in the reactor. The first gas composi-
tion creates a polymer on the surface of the substrate, and the second gas composition etches the sub-
strate. The polymer is immediately sputtered away by the physical part of the etching, but only on the
horizontal surfaces and not the sidewalls. Since the polymer only dissolves slowly in the chemical part
of the etching, it builds up on the sidewalls and protects them from etching. As a result, etching aspect
ratios of 50 to 1 can be achieved. The process can easily be used to etch completely through a silicon
substrate, and etch rates are 3-4 times higher than wet etching [memsnet].

3.1.3.1.2 MERIE Magnetically enhanced RIE


MERIE is an extension to RIE. In MERIE a magnetic field is added whose field direction is aligned
perpendicular to the electric field direction. At a given pressure the magnetic field increases the prob-
ability of ionization. In this way the etching rate is increased by up to two orders of magnitude. Alter-

30/11/2005 Dissemination level : Public Page 52/273


IST-017255 MONA Deliverable D1.1 (M4)

natively the magnetic field enables a reduction of the working pressure which on the other hand en-
hances the anisotropy of the etch profile [Haefer, 1991], [Oehrlein, 1992].

3.1.3.2 IBE Ion beam etching


IBE
An IBE etch tool is used consisting of a vacuum chamber with an ion source with filament-cathode, an
anode and the wafer to be etched mounted on a table with an adjustable tilt angle. A low-temperature-
and low-pressure-plasma is maintained inside the ion source. At the interface between ion source and
chamber there are extraction grids and a neutralizer. Applying a voltage to the extraction grid a current
of (positively) charged ions is extracted from the plasma which is accelerated into the vacuum cham-
ber towards the wafer substrate. The neutralizer, typically consisting of a tungsten filament, emits elec-
trons serving to neutralize positive charges on the wafer surface [Haefer, 1991].
RIBE Reactive IBE:
In RIBE, additionally a reactive gas is introduced to the ion source and reactive ions are formed due to
collisions together with neutral particles. Both may react with the wafer surface.
CAIBE Chemically Assisted IBE:
CAIBE is similar to IBE, but in addition, Argon is introduced to the ion source chamber, while a reac-
tive gas is led into the reaction chamber at a position close to the wafer platter. The etching process is
induced due to collisions of Ar+ ions with particles in the adsorbed phase.
Advantages of the RIBE and CAIBE techniques are, that the kinetic energy of the ions and the current
density can be controlled independently. Furthermore the setup provides high flexibility in determin-
ing the anisotropic etching profiles due to the adjustability of the angle of the sample table. In addition
the chamber may also be used to perform RIE and simple sputter etching.
Due to the low vapor pressure (10-5 mbar) in the sample space, the reaction products are volatile al-
ready at lower temperatures than in RIE processes, which is important when etching metals.
A disadvantage of IBE based techniques is the sensitivity of the filament cathode when facing reactive
gases; Ablation of the cathode due to chemical reactions and sputtering is also an issue because this
may lead to contaminations. Lower etching rates than in parallel-plate RIE systems are to be expected
and for large wafer plates the etching rates may be inhomogeneous.

3.1.3.3 Other etching techniques


Other dry etching methods exist, such as sputter etching and vapor phase etching. Sputter etching is
essentially RIE without using reactive ions. The systems used are based on sputter deposition systems,
where ions bombard the substrate instead of the material target as it happens in sputter deposition.
Vapor phase etching uses a simpler equipment than RIE does. The wafer to be etched is placed inside
a reaction chamber, to which one or more gases can be introduced. The wafer surface is chemically
dissolved by the gas molecules. The two most common vapor phase etching technologies are silicon
dioxide etching using hydrogen fluoride (HF) and silicon etching using xenon diflouride (XeF2), both
of which lead to isotropic etching processes. A vapor phase process has to be designed carefully to
avoid byproducts, formed in the chemical reaction, that condense on the surface and would interfere
with the etching process [memsnet].
[Haefer, 1991] R. A. Haefer, in: Oberflächen-und Dünnschicht-Technologie, Teil II: Oberflächenmodifikation durch Teilchen und Quanten,
Springer-Verlag 1991.

[Kuo, 1992] Y. Kuo, Reactive ion etching by technology in thin-film transistor processing, IBM J. Res. Develop. 36, 1992.

30/11/2005 Dissemination level : Public Page 53/273


IST-017255 MONA Deliverable D1.1 (M4)

[memsnet] http://www.memsnet.org/mems/processes/etch.html

[Oehrlein, 1992] G.S. Oehrlein, J.F. Rembetski, Plasma-based dry etching techniques in the silicon integrated circuit technology, IBM J.
Res. Develop. 36, 1992.

[Oehrlein, 1999] G.S. Oehrlein et al., Surface science issues in plasma etching, IBM J. Res. Develop. 43, 1999.

30/11/2005 Dissemination level : Public Page 54/273


IST-017255 MONA Deliverable D1.1 (M4)

3.2 Bottom-Up Technologies

Ultrathin films play an important role in many electronic devices as well a for large-area functional
coatings. For their fabrication various physical and chemical deposition methods are employed. The
methods applied vary from simple evaporation in the case of Physical Vapor Deposition (PVD) or
Chemical Vapor Deposition (CVD) techniques to molecular beam epitaxy. Also ion deposition meth-
ods are used. These methods are already used in micro technology. In order to apply them on the (sub-
) nanometer scale, a precise control of the process parameters is essential.
Another possibility for the generation of monolayers is spontaneous formation of chemical bonds of
some particular molecules tending to self assemble under certain conditions. Self-assembly is used,
often in conjunction with other deposition techniques, to manufacture nano-scale devices based on e.g.
carbon nanotubes (CNTs) or nanorods of different materials.
In the following chapter, the different thin film deposition methods will be briefly described starting
with MBE, followed by CVD methods and PVD methods. Sol-Gel technology, as well as Spin-
Coating will be briefly addressed. The final subchapter deals with self-assembly.

3.2.1 Thin Film Technologies and Epitaxy

3.2.1.1 MBE Molecular Beam Epitaxy


Molecular beam epitaxy (MBE) denotes the
controlled deposition of one or more pure
materials onto a single crystal wafer. Under
Electron Beam
ultra-high vacuum (UHV) conditions (up to Sample
Evaporation

10-11 mbar), ideally one layer of atoms is holder


Manipulator
grown at a time to form a perfect crystal on
a suitable substrate. An overview about
MBE is given e.g. in [Knodle, 2002]. Shutter
In-situ
Working principle: analysis

The material to be evaporated is being


heated in a crucible or a furnace inside the
UHV chamber using different techniques
until some of the atoms or molecules start External
Load-Lock
to evaporate. The deposition rates are con-
trolled by the temperature of the crucibles
or by individually controlled electron beam with Fig.2.1. 1 Schematic of an MBE-chamber for e-beam evaporation
different devices for in-situ analysis.
evaporators. The gaseous atoms or mole-
cules then deposit on a substrate which is mounted at an optimized distance with respect to the source
in order to provide a homogeneous deposition. In order to achieve crystalline growth of the film on top
of the substrate, a crystalline substrate with the appropriate lattice constant has to be chosen to enable
epitaxial growth. Further the growth parameters, such as substrate temperature and growth rate, can be
varied.
Set-up:
Fig.2.1. 1 shows a schematic of an MBE chamber with evaporation sources (here with four crucibles
for e-beam evaporation of four different materials), a manipulator for sample transport, a load lock for
wafer transfer and different flanches for devices needed for in-situ analysis (such as e.g. Low Energy
Electron Diffraction (LEED) for controlling the quality of the layers deposited; Auger Electron Spec-

30/11/2005 Dissemination level : Public Page 55/273


IST-017255 MONA Deliverable D1.1 (M4)

troscopy (AES) for purity examination; STM Scanning Tunneling Microscope for monitoring the sur-
face topography). The layer thickness can be controlled and monitored using a calibrated oscillating
quartz, mounted at a position close to the wafer substrate. The oscillation frequency of the quartz
changes upon coverage. The loadlocks are used for introducing new substrates into or removing
coated substrates out of the chamber without venting it, since an evacuation of the chamber to achieve
UHV conditions usually takes a couple of days, since the chamber walls have to be heated to release
contaminations (e.g. water) adsorbed on the walls.
Evaporation sources:
Evaporation sources are classified according to the heating principle employed, such as current, elec-
tron beam, electromagnetic induction, gas discharge or laser.
Resistance heated sources: Heating of the source material can be achieved via direct or indirect heat-
ing. Crucibles (usually Mo, Ta, W) are available in various forms and sizes, such as spiral filaments or
box-like crucibles without cover or with a perforated cover. The latter serves to prevent sudden mate-
rial eruptions which would affect the homogeneous layer growth. The form and size of the crucible
and heating element determines the profile of the vapor and therfore the homogeneity at the site of the
substrate.
Thermal evaporation sources: Knudsen Cells or K-Cells. K-Cells consist of a heating element, a sur-
rounding heat shield and a crucible thermocouple assembly mounted on a port flange. Source materi-
als are contained inside crucibles.
E-beam evaporation: For e-beam-evaporation the material to be evaporated is put in a crucible, which
is usually made from tantalum or molybdenum. The depostion rate can be controlled by changing the
current of the filament of the electron gun used to generate the e-beam.The position of the focussed e-
beam is controlled by magnetic deflection to direct an intense electron beam into a water cooled
hearth. Materials with very high melting temperatures are often evaporated using electron beam heat-
ing.
Not every source can be used to evaporate any material, because the heating power normalized to the
area is quite different for various evaporators. Depending on the temperatures, chemical reactions be-
tween evaporation and crucible materials might occur, which has to be avoided.
Modifications:
In reactive evaporation, high purity reactive gases are introduced at a defined pressure. These gases
react with the evaporated material generating a compound which is subsequently deposited on the
substrate. In Activated Reactive Evaporation ARE the rate of yield is optimized by activating the reac-
tive gas by gas discharge processes. The ARE process can be initialized e.g. by introducing a ring
electrode between substrate and evaporation material whereby secondary electrons are produced and a
plasma is generated between substrate and evaporation material. Other variations include gas-source
MBE, which resembles chemical vapor deposition but in vacuum.
Applications:
If different source materials are used as it is necessary for the preparation of multilayers, computer
controlled shutters in front of each crucible or furnace may be used, enabling the precise control of the
thickness of each layer. This control has allowed the development of quantum confined structures such
as quantum wells or even quantum dots. Layers of this type are now a critical part of many modern
semiconductor devices, including semiconductor lasers and light emitting diodes. Molecular beam
epitaxy is also used for the deposition of some types of organic semiconductors. In this case, mole-
cules, rather than atoms, are evaporated and deposited onto the wafer.

30/11/2005 Dissemination level : Public Page 56/273


IST-017255 MONA Deliverable D1.1 (M4)

[Knodle, 2002] W.S. Knodle and R. Chow, Molecular beam Epitaxy, in, Handbook of thin Film Deposition - Processes and Technologies,
2nd edition, K. Seshan (Ed.), Noyes Publications (2002).

3.2.1.2 LPE Liquid phase epitaxy


LPE is a relatively old technique used for thermally-controlled overgrowth of thin single-crystalline
films of compound semiconductors and magnetic garnets from the melt on a single crystal substrate.
The method has been successfully applied in semiconductor industrie for fabricating optoelectronic
devices. The most commonly used LPE process used for multilayer growth employs a gaphite holder
which slides the sample between melts or solutions of differing composition. A LPE system basically
consists of a reactor, or typically tubular furnace, substrate loading subsystem, a pumping and exhaust
subsystem, and a temperature controlling subsystem. The temperature (typically up to 1300 °C) and
melt composition determine the stoichiometry and deposition rate. Film growth results from the con-
trolled cooling of the supersaturated melt. Different bins are required for different layers of differing
alloy composition, which has to be controlled based on the knowledge of the alloy phase diagram.
Doped layers can be produced by adding weighted amounts of impurities. A relatively wide selection
of dopants is possible. LPE is a relatively cost-effective technique, which enables high deposition rates
(of the order of 1 mm/min) and high purity without using toxic gases. However, in comparison to
MBE, LPE is limited by poor large area uniformity and surface morphology. It is also difficult to con-
trol the reproducibility of ternary III-V compounds [Knodle, 2001].

3.2.1.3 CVD Chemical Vapor Deposition


Chemical vapor deposition (CVD) is a depo-
sition technique based on chemical reactions
of vapor phase reactants (precursors) flowing
over a substrate. At the substrate surface they
chemically react and decompose to form a
solid thin film. The decomposition of the
precursors yields the desired solid reaction
product and at least one volatile reaction
product. The chemical reaction taking place
at the site of the substrate, requires some sort
of energy activation, which is supplied either Fig.2.1. 2 Schematic of a CVD system; from [Dobkin,2001]
by heating or irradiating the substrate, by
applying some kind of radiation or by igniting a plasma above the substrate.
The advantages of CVD methods are relatively good predictability of the density, the adhesion proper-
ties, homogeneity and purity of the deposited materials. Various materials, such as semiconductors
including polycrystalline, amorphous, and epitaxial silicon, metals and insulators can be deposited
using CVD techniques. The applicability of CVD for the deposition of a specific material depends on
the existence of a suitable chemical reaction and the corresponding vapor phase reactants. In addition a
suitable substrate has to be chosen, which must not degrade at the reaction temperature and which
must not chemically react with the reactants. Applying CVD techniques, the achievable thickness
range varies between a few hundred nm and several microns. In the following the basic set-up and
requirements for CVD are described. Thereafter the characteristics of important specific CVD tech-
nologies are addressed, which are basically extensions to the original atmospheric pressure (AP)-CVD.

30/11/2005 Dissemination level : Public Page 57/273


IST-017255 MONA Deliverable D1.1 (M4)

3.2.1.3.1 APCVD Atmospheric Pressure CVD


In APCVD the deposition process takes place at pressures between 10 and 1000 mbar and tempera-
tures between 200 and 2000°C [Haefer, 1987].
As schematically shown in Fig.2.1. 2 the CVD equipment consists of a gas supply system, where
liquid and solid state components are transformed to the gas state, a gas manifold in conjunction with
sophisticated gas control mechanisms, a reactor chamber wherein the wafer substrate is situated and
where the deposition of the films takes place and finally a gas exhaust system. A carrier gas, such as
argon, hydrogen or nitrogen is used to transport the desired precursors through the reaction chamber.
Gas supply - precursors
In CVD, the working mechanisms for preparing thin solid films on top of suitable substrates are ther-
mal decomposition of volatile precursors, usually at reduced pressures, and subsequent adsorption and
diffusion processes. Liquid and solid precursors serve as source materials. Precursor materials, such as
e.g. halogenides, nitrides, carbides with sufficiently high vapor pressures at elevated temperatures and
under specific pressure conditions, are used in conjunction with hydrogen, methane or nitrogen gas to
form solid films. Usually welded stainless steel containers with bellows and vacuum fittings at the
inlet and outlet have to be used as gas containers. For liquid sources so called bubblers are used, where
a carrier gas, such as H2 is passed through the bottom of the liquid in the container using a dip tube.
The carrier gas then transports the volatile components of the source material into the reaction cham-
ber. Solid sources are less frequently used. They do exist in the form of agglomerated powders and
typically are packaged in bubblers similar to those used for liquid sources.
As an example, for the production of epitaxial Si, SiH4
gas (as precursor) is thermally decomposed (pyrolysis)
at the site of the heated substrate yielding exhausting
hydrogen as volatile reaction product.
During the CVD process the gases have to be distrib-
uted and their flow has to be controlled by a gas mani-
fold. The latter contains computer controlled valves, Cold-wall induction type with
mass flow and pressure controllers and tubing that regu- tilted susceptor
late and direct the flows and pressures of all reactants.
Deposition chamber- reactor types:
The chamber design largely controls the lateral uni-
formity of the material deposited as well as the abrupt-
ness of the interfaces. There exist a variety of different
reactor types. Some reactor design types are illustrated
Barrel type
in Fig.2.1. 3. For further details see e.g. [Kern,2002],
[Haefer,1987], [Mcgill].
The reactor walls are usually made from fused silica or
stainless steel. Reactors for operation at elevated tem-
peratures (> 600°C) are either “hot wall” or “cold wall”
reactors. In the ideal cold wall reactor only the substrate
is heated. Therefore cold wall reactors are water cooled Rotating pan-
or ambient air-cooled in order to prevent competing cake type
chemical reactions at the walls which may interfere
with film growth. Cold wall reactors, usually bell-jar Fig.2.1. 3: Schematics of different reactor types; taken
from [Mcgill]
shaped, are used for endothermic processes, such as e.g.

30/11/2005 Dissemination level : Public Page 58/273


IST-017255 MONA Deliverable D1.1 (M4)

the deposition of silicon using halides or hydrides as precursor materials.


In contrast, hot wall reactors, usually tubular in shape, are used for exothermic processes where the
high wall temperature avoids deposition on the reactor walls.
Heating of the substrate, which is placed on a electrically conducting or optically absorbing susceptor,
is accomplished either by resistance heating, by radio frequency (rf) induction, or by high intensity (IR
or Laser) radiation lamps. A laminar flow across the surface has to be ensured [Zilko,2002].
The barrel type reactor, shown in the center of Fig.2.1. 3 enables a high throughput. The gas is intro-
duced from the top of the chamber and the wafers are placed at the sides of a cone shaped rotable wa-
fer holder.
Other multi-wafer reactors exist, where the susceptor diameter is made larger, so that several sub-
strates can be placed off center of a rotating susceptor (see e.g. pancake reactor shown in Fig.2.1. 3,
bottom). In any case, the use of numerical techniques is essential in order to calculate flow dynamic
effects for a specific reactor type.
Gas exhaust system - scrubbers
The gas exhaust system includes a pump for low pressure operation and evacuation of the chamber.
In recent years, CVD systems have evolved to operate largely at reduced pressures, because low pres-
sures favour large area uniformity and interface abruptness (see below: LPCVD).
From the pump the exhausting gas components are directed to a scrubber essential to remove toxic
materials from the gas stream. Scrubbers can be either wet, using bromate solutions or dry, using ad-
sorption and subsequent oxidization proc-
esses on a suitable medium.
Applications: ICs, solar cells, flat panel
displays

3.2.1.3.2 LPCVD
Reactors operating at low pressure (typi-
cally 0.1–10 mbar) for low pressure CVD
(LPCVD) in the low-, mid-, or high-
temperature ranges are resistance-heated
hot-wall reactors of tubular, bell-jar, or
close-spaced design. In the horizontal
tubular design, the substrate slices (silicon
Fig.2.1. 4 Schematic of a LPCVD reactor, taken from [Mcgill]
device wafers) stand up in a carrier sled
and gas flow is horizontal (see Fig.2.1.
4). The reduced operating pressure increases the mean free path of the reactant molecules, which al-
lows a closely spaced wafer stacking. High packing density can be achieved (typically 100 to 200 wa-
fers per tube), which allows an increased throughput, and thus lower productinon costs.
In LPCVD, no carrier gases are required, particle contamination is reduced and film uniformity and
conformity are better than in conventional APCVD reactor systems. For these reasons LPCVD is
widely used in the cost-competitive semiconductor industry for depositing films of insulators, amor-
phous and polycrystalline silicon, refractory metals, and silicides. Furthermore epitaxial growth of
silicon at reduced pressure minimizes auto-doping (contamination of the substrate by its dopant), a
major problem in atmospheric-pressure processes [Kern,2002].

30/11/2005 Dissemination level : Public Page 59/273


IST-017255 MONA Deliverable D1.1 (M4)

3.2.1.3.3 PECVD Plasma Enhanced Chemical Vapor Deposition or


PACVD Plasma Assisted Chemical Vapor Deposition
PECVD is a CVD coating technique where the thermal impact for the substrate can be reduced. A
plasma discharge is induced above the wafer material and the gas molecules introduced are excited or
dissociated within the plasma and tend to react at a temperature below the thermodynamic equilibrium
temperature. This causes a reduction of the reactive power needed. Therefore the process temperatures
can be reduced and substrates can be coated which otherwise would melt, evaporate or chemically
react. Furthermore after cooling to room temperature there is less mechanical strain. For purification
the substrate is continuously sputtered with ions before and during the coating process. Compared to
sputter techniques (<0.01 mbar), the pressure during deposition processes is higher (0.1 to 1 mbar).
A potential disadvantage of the reduced substrate temperature is the fact that some materials need
higher substrate temperatures in order to enable sufficiently high desorption of the desired gaseous
component. Another limitation of PECVD is that the crystalline structure of cetain wafer materials
might be damaged during this process. Spatially separating Plasma and wafer reduces radiation dam-
age. This is achieved in Remote PECVD (RPECVD).

3.2.1.3.4 The HiPCO method


The high pressure carbon monoxide (HiPCO) method can be used to produce large quantities of
SWNT carbon nanotubes. This method is a CVD technique performed at high pressures in a hot wall
reactor. Catalysts for growth are formed in situ at pressures of 1-10atm and temperatures of 800-1200
°C. The HiPCO method uses carbon monoxide as the carbon feedstock and Fe(CO)5 as the iron-
containing catalyst precursor. The SWNT yield and the diameter of the nanotubes produced can vary
over a wide range determined by the condition and flow-cell geometry.
The iron particles promote the formation of the characteristic graphitic carbon lattice. The flow tube
has a thick quartz wall and is contained within the furnace. The rate at which the reactant gases are
heated determines the amount and quality of the SWNTs produced. The CO and Fe(CO)5 gases are
maintained at a low temperature initially through a water-cooled injector. This low temperature is
maintained so that rapid heating can occur inside the furnace [Bronikowski, 2001].

3.2.1.3.5 PHCVD Photo-Enhanced Chemical Vapor Deposition


In PHCVD, the activation of the vapor phase reactant molecules is achieved using electromagnetic
radiation, usually UV-radiation. The reactant molecules selectively absorb photonic energy forming
free radicals that subsequently interact to produce the desired solid film on the substrate. Often mer-
cury vapor is added to the reactant gas mixture as a photo-synthesizer which can be activated with
radiation from a high-intensity quartz mercury resonance lamp. The excited mercury atoms collide
with the reactants thus generating free radicals. PHCVD allows for reduced substrate temperatures of
about 150 °C, since most of the activation energy necessary for the chemical reaction is supplied as
photonic energy. In comparison to PECVD, also operating at reduced deposition temperatures, photo-
induced CVD minimizes the potential radiation damage during deposition. PHCVD is limited by low
deposition rates and by the availability of suitable precursor materials as well as of effective produc-
tion equipment.

3.2.1.3.6 LCVD Laser–Induced CVD


In LCVD either the substrate is heated locally with a laser beam inducing film deposition by CVD
surface reactions or gaseous reactant molecules absorb photonic energy at a particular wavelength.
The latter mode results in specific gas phase reactions enabling the deposition of relatively pure films.

30/11/2005 Dissemination level : Public Page 60/273


IST-017255 MONA Deliverable D1.1 (M4)

However this operation mode depends on the spectral properties of the reactant species which have to
match with the available laser energies. LCVD is still in an early stage of development [Kern, 2002].

3.2.1.3.7 MOCVD Metal Organic Chemical Vapor Deposition


Metal Organic Chemical Vapor Deposition (MOCVD or MOVPE or OMCVD) is one of the most
relevant techniques for the preparation of thin layers consisting of compound semiconducting materi-
als, such as GaAs. In contrast to MBE, the deposition conditions in MOCVD are generally moderate
pressures between 10 to 1000 mbar. Within a pressure range between 10-200 mbar one talks about low
pressure (LP-)MOCVD. LP-MOCVD is more suitable to a simultaneous achievement of large area
uniformity and interface abruptness. Pressure reduction is accomplished through the use of a vacuum
pump, which is specially prepared for chemical service and commercially available. However, for
some opto-electonic devices, which require extreme precision control and uniformity, metal organic
MBE (MOMBE), also called chemical beam epitaxy CBE) can be applied, where metal organic and
hydride sources are used under high vacuum conditions in a modified MBE-system [Zilko,2002].
In contrast, raised-pressure (RP-)MOCVD at pressures above 1000 mbar is also being employed. One
possible application is the fabrication of GaN-based laser diodes. It has been reported that the defect
density in these devices could be reduced and the lasing threshold decreased for growth pressures
above 1000 mbar up to 1600 mbar [Yanashima, 1999].
Precursors:
Since precursor materials for the production of compound semiconductors are usually elementary met-
als, it is often not possible to transfer them to the gaseous state at low temperatures. Therefore metal-
organic compound precursors are used, such as e.g. trimethyle gallium ([CH3]3Ga as group III metal
source) and hydrides (e.g. the hydride AsH3 as group V non-metal source) for the deposition of GaAs.
The metal-organic compounds used for MOCVD are usually clear liquids or white solids at room tem-
perature. They are often pyrophoric and highly flammable. They are also characterized by a moderate
or relatively high vapor pressure at room temperature enabling evaporation at standard conditions. As
a general rule lower molecular weight compounds tend to have a higher vapor pressure at a given tem-
perature. Therefore they can be transported easily through tube systems.
The metal organic compounds are stored in bubblers, where they build up a saturated vapor above the
liquid or solid surface. This vapor is transported to the reactor chamber together with a carrier gas,
usually hydrogen, nitrogen or argon. Located inside a thermostat, the temperature of the bubbler is
maintained constant in order to achieve a well defined constant vapor pressure of the metal organic
material.
The reaction chamber is usually based on a cold wall reactor with water cooled or ambient air-cooled
walls in order to prevent competing chemical reactions at the walls which may interfere with film
growth. The vapor phase reactants are thermally decomposed using a hot susceptor and substrate. This
leads to the formation of a non-volatile product (e.g. GaAs) which is deposited on the hot substrate,
and a volatile product (e.g. CH4), which is carried away to the exhaust using a flush gas (H2). There
are several side reactions, and intermediate steps which are not addressed here in order to concentrate
on the (simplified) overall reaction. It has to be noted, that these side reactions can have destructive
effects, but recent advances in source chemistry, equipment design and process understanding have
significantly reduced the number of possible deleterious reactions. The decomposition temperatures of
the metal-organic compounds are not well defined, but are generally in the range of 200°C to 400°C. It
depends on the interaction between the vapor phase materials and the substrate surface, the gas ambi-
ent, the gas flow rate and possibly the reactor geometry.

30/11/2005 Dissemination level : Public Page 61/273


IST-017255 MONA Deliverable D1.1 (M4)

Since most metal-organic materials are pyrophoric, or at least air/ water sensitive, they require her-
metic packaging to prevent contaminations or fires due to contact with air. A sophisticated gas mani-
fold and gas control system is necessary, because simultaneous arrival of the reactants at the growth
interface is essential for the preparation of abrupt interfaces in III/V and II/VI heterostructures
[Zilko,2002]. Parameters which can be tuned besides the molar flows of the input reactants is the
growth (susceptor) temperature.
Special MOCVD reactor type - planetary reactor:
MOCVD reactors are generally constructed to mini-
mize interactions between the vapor phase constitu-
ents by physically separating the reactant materials
until just before they reach the growth area as well as
by using high gas velocities and low pressure growth.
The working principle of the planetary reactor is
based on the planet-like rotation of geometrically
arranged wafers, which are placed on a large disk and
which also rotates (see Fig.2.1. 5). The aim of this
slow (50-100 rpm) double rotation is that the process
gases are distributed evenly and without turbulence Fig.2.1. 5 Schematic of the AIXTRON planetary reactor,
taken from [aixtron, 1999].
across the wafer. Controlling the temperature, pres-
sure and sojourn time of the gases, thereby homoge-
neous crystal structures can be produced [Christiansen,2002].
Contaminations
During an MOCVD process there is principally a lot of hydrogen and carbon inside the reactor. There-
fore a small amount of these materials is always integrated in the semiconductor crystal structure. Hy-
drogen often passivates the acceptor dopants necessary for p-type conduction. In order to solve this
problem the wafer is annealed in an atmosphere of an inert gas or vacuum.
O2 and H2O contaminations in the gas stream can also seriously affect the composition, morphology
and photoluminescence intensity of Al-bearing compounds, such as AlGaAs, InGaAlAs and InGaAlP.
Therefore gettering techniques are used in order to remove the contaminations from the gas stream.
In-situ-diagnostics:
The quality in terms of the defect intensity of the films deposited has to be checked employing acous-
tical or optical monitoring techniques. Acoustic monitors, based on ultrasonic transducers, make use of
the concentration dependence of the speed of sound. Optical monitoring of the growing film usually
occurs generally via reflectometry and either the specular or diffus scattered light is monitored. Dif-
fuse scattering yields information on morphological changes, while specular reflectance measurements
yield interference patterns, which provide information about layer thicknesses and growth rates.
Applications
Various opto-electronic and high speed electronic devices, such as solid state lasers, solar cells, PIN
photodetectors, phototransistors, photocathodes, field effect transistors are fabricated using MOCVD.
In addition MOCVD has been used in material studies to deposit various III-V and II-VI semiconduct-
ing compounds and alloys. Due to their technological importance for lasers, LEDs and photodetectors,
III-V materials, which are lattice matched to GaAs have been extensively studied. In particular GaN
films can be produced, which are used for the fabrication of white, blue and green LEDs. Blue LEDs
are composed of different materials, such as GaN as base material, InGaN as luminescent layer and
AlGaN as injection barrier which increases the efficiency. Furthermore improved equipment and proc-

30/11/2005 Dissemination level : Public Page 62/273


IST-017255 MONA Deliverable D1.1 (M4)

ess understanding enables industry to produce thin films with excellent material uniformity even
across 100 mm wafers. Thin films can be grown with monolayer accuracy at moderate pressures and at
deposition rates between 0.1 to 1 nm/s. In addition, the production of several advanced photonic array
devices, such as surface emitting lasers (SEL’s) and self electro-optic effect devices (SEED’s), seems
to become commercially viable. Exploiting the Stranski-Krastanow growth mode, self assembled
quantum dots could be produced, e.g. using the In(Ga)As/GaAs system for quantum dot based lasers.

3.2.1.3.8 CCVD Combustion Chemical Vapor Deposition


CCVD is an open-atmosphere, CVD based technique for growing thin films [Hunt, 1993]. In CCVD
the precursor materials are dissolved in an easily in-
flammable solvent. The resulting solution is then
directed to a burner, where it is ignited to give a
flame. The substrate to be coated is then repeatedly
passed under the flame to build up a coating. In com-
parison to other CVD techniques this process enables
the deposition of thin films at relatively low substrate
temperatures, because the energy necessary for the
decomposition of the precursor is provided by the Fig.2.1. 6. ALD based on sequential, self-limiting
flame. CCVD could, for some applications, be less surface reaction

expensive and more flexible than conventional CVD [Davis, 2004]. Metal, ceramics and composite
films may be prepared using CCVD.

3.2.1.3.9 ALD Atomic Layer Depositon

Atomic Layer Deposition (ALD) is a coating technique based on Chemical Vapor Deposition (CVD).
The concept of ALD was first introduced as a variant of physical vapor deposition (PVD). It was
originally implemented for epitaxial film growth of compound materials using two separate sources
and therefore named Atomic Layer Epitaxy (ALE) [Suntola, 1977]. One distinctive property of ALD
is the self-limiting film growth mechanism, which enables accurate and relatively simple film thick-
ness control, sharp interfaces, uniformity over large areas, good conformity and reproducibility, multi-
layer processing capability and high film qualities at moderate temperatures [Ritala,1998]. In ALD,
the growth surface is alternately exposed to only one of two complementary chemical environments,
i.e. individual precursors are supplied to the reactor one at a time. Exposure steps are separated by
inert gas purge or pump-down steps in order to remove any residual chemically active source gas or
by-products before another precursor is introduced into the reactor. Each cycle is composed of several
gas-surface interactions that are self-limiting. The basic property enabling ALD is the self-limiting
property of the cycles. Because of its relatively slow throughput compared to traditional deposition
techniques and the need to perform frequent maintenance on the equipment, the chip- and related
technology industries have avoided to apply ALD until recent years; but due to the precision of ALD it
might become necessary for advanced processes.
Furthermore, the interest of modifying the surface
chemistry of fine particles has continuously
grown over the past years (see e.g.
[Weimer,2004]).
Mechanism:
A precursor gas combines with a second gas on
the surface of a wafer or other target surface to
Fig.2.1. 7 Schematic setup for ALD; from [IcKnowledge, 2004].

30/11/2005 Dissemination level : Public Page 63/273


IST-017255 MONA Deliverable D1.1 (M4)

form a single atomic layer of film. Pulses of gas are used in order to create one layer at a time. The
main difference between CVD and ALD is that in ALD a binary reaction is split into two half-
reactions in order to achieve a precise control over the film thickness. This technique enables the
deposition of ultrathin films on surfaces using sequential self limiting surface reactions. Self limitation
can be attributed e.g. to a saturating chemisorption step, i.e. the molecules of the first precursor gas are
adsorbed at the substrate forming a single layer by chemisorption (step A in Fig.2.1. 6). In a second
step the molecules of the second precursor gas chemically react with the adsorbed molecules of the
first precursor (step B in Fig.2.1. 6), releasing a volatile by product. The reaction stops obviously
when all absorbed molecules of the first precursor have reacted. During each dose, the reactants com-
pletely saturate the active sites on the substrate, making this a self-limiting and self-controlling proc-
ess.
Setup:
The basic setup for ALD is given in Fig.2.1. 7, showing two sources connected to the reaction cham-
ber, whose gas flows and temperatures can be controlled separately.
Using ALD sub-100nm films can be grown. The pressure during growth is typically between 10-200
mbar, while the growth temperature is in the range between 150°C and 550°C [Chan, 2005]. A possi-
ble wafer throughput of 10-30 wafers per hour for industial applications has been reported [micro-
magazine, 2003].
Applications:
Besides of the deposition of ultrathin high-k dielectric films, also future flat panel displays will require
uniform and precise SiO2 deposition on extremely large substrates. In addition ultrathin SiO2 films can
be used in multilayer and nanolaminate structures to tailor electrical, mechanical or optical thin film
properties [Klaus, 1997].
[aixtron, 1999] http://www.aixtron.com/pdf/ar99.pdf, AIXTRON, annual report 1999.

[Bronikowski] M. Bronikowski et al., Gas-phase production of carbon single-walled nanotubes from carbon monoxide via the HiPco proc-
ess: A parametric study, J. Vac. Sci. Technol. A 19.4., 1800 (2001).

[Chan, 2005] Method to fill a trench and tunnel by using ALD seed layer and electroless plating, United States Patent 6903013 (2005).

[Christiansen, 2002] K. Christiansen et al., Advances in MOCVD technology for research, development and mass production of compound
semiconductor devices, Opto-Electronics Review 10(4), 237-242, 2002.

[Davis, 2004] M.J. Davis et al., Growth of Thin Films of Molybdenum and Taungsten oxides by Combustion CVD using Aqueous Precursor
Solutions, Chem. Vap. Deposition 10, 29 (2004).

[Dobkin,2001] D. M. Dobkin, http://www.enigmatic-


consulting.com/semiconductor_processing/CVD_Fundamentals/introduction/generic_CVD_reactor.html

[Haefer, 1987] R. A. Haefer, Oberflächen- und Dünnschicht-Technologie Teil I, Springer-Verlag 1987.

[Hunt, 1993] A.T. Hunt et al., Combustion chemical vapor deposition: A novel thin-film deposition technique, Appl. Phys. Lett. 63, 266
(1993).

[IcKnowledge,2004] Technology Backgrounder: Atomic layer Deposition, excerpt from 2004 IC Technology.
[Kern, 2002] W. Kern, Depostion technologies and Applications: Introduction and overview, publicshed in Handbook of Thin Film Deposi-
tion, edited by K. Seshan, Noyes Publications 2002.

[Klaus, 1997] J. W. Klaus, O. Sneh, S.M. George, Science 278, 1934 (1997).

[Mcgill] http://www.physics.mcgill.ca/~peter/534A/MEMS3.ppt#50

[micromagazine, 2003] http://www.micromagazine.com/archive/04/06/lead.html .

30/11/2005 Dissemination level : Public Page 64/273


IST-017255 MONA Deliverable D1.1 (M4)

[Ritala, 1999] M. Ritala and M. Leskelä, Atomic Layer Epitaxy- A valuable Tool for nanotechnology?, Nanotechnolgy 10, 19-24 (1999).

[Sneh,2002] O. Sneh et al., Thin film atomic layer deposition equipment for semiconductor processing, Thin Solid Films 402, 248 (2002).

[Suntola, 1977] T. Suntola and J. Atson, U.S. patent No. 4,058,430, Method for producing compound thin films, Nov. 1977.

[Weimer, 2004] A. W. Weimer, keynote lectures, www.colorado.edu/che/TeamWeimer/PARTEC2004.pdf.

[Yanashima, 1999] K. Yanashima et al., Room-Temperature Continuous-Wave Operation of GaN-Based Laser Diodes Grown by Raised-
Pressure Metalorganic Chemical Vapor Deposition, Journal of Electric Materials 28, 287 (1999).
[Zilko, 2002] J.L. Zilko, Metal organic Chemical Vapor Deposition: Technology and Equipment, published in Handbook of Thin Film
Deposition, edited by K. Seshan, Noyes Publications 2002.

3.2.1.4 PVD Physical Vapor Deposition

3.2.1.4.1 Sputter technologies


Sputtering is a physical vapor deposition technology where energetic ions are used to bombard atoms
in a solid target material, which are then ejected into the gas
phase before they deposit on a substrate wafer. Using sputter-
ing, thin metals films can be deposited as well as insulators.
The ions for the sputtering process are supplied by a plasma
that is induced from an inert gas, such as Ar. The deposition
takes place in a vacuum chamber at pressures between 10-2
mbar and 10-6 mbar. The chamber is provided with load locks
and eventually with gas inlets for reactive sputtering [Frey,
1986], [Rossnagel, 2002].
Fig.2.1. 8 Schematic setup for DC diode
3.2.1.4.1.1 Diode sputtering: sputtering; from [uccs_trieste].

Setup and Working principle:


In the most simple setup, illustrated in Fig.2.1. 8, which is denoted as DC diode sputtering, a voltage
of several kVs is applied between two electrodes, the cathode with the (metallic) target material on top
of it and an (grounded) anode with the substrate mounted on it. An inert gas, usually argon (Krypton is
also possible), is let into the chamber and a plasma is ignited between the electrodes. The positive Ar
ions in the plasma are accelerated towards the negatively charged target and target atoms are ejected
due to the collision. Depending on the applied voltage and the pressure of the sputter gas, the Ar+ ions
have energies between 100 eV and several 1000 eV.
Sputtering is largely driven by collisions, i.e. by momentum exchange, between the ions and atoms in
the target material. The number of atoms ejected from the surface per incident ion is called the sputter
yield and is a measure of the efficiency of the sputtering process. The sputter yield depends on the
energy of the incident ions, the masses of the ions and target atoms, and the binding energy of atoms
in the solid.
The sputtered target atoms are not in their thermodynamic equilibrium state. Therefore, they tend to
condense back into the solid phase upon colliding with any surface in the sputtering chamber. This
results in deposition of the sputtered material on several surfaces inside the chamber including the
substrates to be coated.
In contrast to evaporative techniques, in which one component often evaporates preferentially, result-
ing in a deposited film with a different composition than the source material, sputtered films have the
same composition as the target material. Although the individual components may be sputtered at dif-
ferent rates and one element is sputtered faster than the other, the surface is then enriched with the
other element, which effectively counteracts the difference in sputter rates resulting in deposited films

30/11/2005 Dissemination level : Public Page 65/273


IST-017255 MONA Deliverable D1.1 (M4)

with the same composition as the target.


A variety of techniques is used to modify the plasma properties to achieve the optimum sputtering
conditions, such as e.g. the use of an RF alternating current or the utilization of magnetic fields, both
increasing the ion density. Another technique is the application of a bias voltage to the substrate, caus-
ing a fraction of the ions to be accelerated towards the substrate (and not to the target material), for
cleaning the films deposited during deposition.
DC diode sputtering:
The dc diodes were characterized by low deposition rates, high voltages, and low currents, and hence
lost interest. They are also inadequate for the deposition of dielectric films because of charging of the
surface, arcing, and low deposition rates.
Triode sputtering:
As an extension to diode sputtering a third electrode may be added to the setup. The plasma is gener-
ated between an anode and cathode, while the target material is mounted somewhere in between on the
additional electrode at a slightly negative potential. This setup ensures that the potential of the target
does not influence the plasma which is produced independently enabling the use of any target or wafer
shape.
Hf (high frequency) sputtering:
The second evolution of sputtering technology was to replace the dc power supply with an RF (radio
frequency) supply, generally operating at a frequency of 13.56 MHz. This evolution eliminates the
charging and arcing problems with dielectrics and also led to slightly higher deposition rates. The os-
cillating rf potential applied to anode and cathode results in a modification to the electron motions,
which produced better energy coupling to the electrons as well as higher plasma densities [Frey,1986],
[Rossnagel,1999].
When applying a high frequency ac voltage, the target electrode is charged negatively generating a net
dc voltage due to the higher mobility of electrons compared to ions.
This method allows one to sputter any material. In particular multilayers with alternating
metal/insulator materials may be produced.
At distances of about 25 mm one has to consider that substrate temperatures of more than 400° are
reached during deposition which might damage substrate and layers. The temperatures at the site of
the substrate might be reduced using larger substrate areas and applying lower hf voltages and em-
ploying multichamber systems with several loadlocks. The latter enable one to perform different steps
of the process in separate chambers, such as pre-cleaning of the wafer substrates, film deposition and
venting.
Bias sputtering:
In contrast to the previously described techniques where the substrate is always grounded, in bias sput-
tering a slightly negative potential is applied to the substrate. This causes sputter ion bombardments
during film deposition to remove rest gas contaminations. This technique serves to densify and pla-
narize the depositing film, but many parameters are changed at a time, such as deposition rate and
substrate temperature. This makes the whole process less controllable.
Reactive sputtering:
During deposition from a target material a reactive gas is present which chemically reacts with the
target material. The product can be produced either at the site of the target, in the plasma or at the site
of the substrate.

30/11/2005 Dissemination level : Public Page 66/273


IST-017255 MONA Deliverable D1.1 (M4)

3.2.1.4.1.2 Magnetron sputtering:


Currently 95 % of all sputtering applications use on magnetrons.
The use of magnetic fields to enhance the sputtering rate, leads to the term magnetron sputtering. Of-

Fig.2.1. 9: Working principle of a magnetron tube; from http://www.gencoa.com/tech/whatmagnetron.html

ten rare-earth permanent magnets are used to confine the plasma and direct the flow of atoms during
the sputtering process [angstromsciences]. In magnetron sputtering a magnetic field is superimposed
to the electric field forcing the charged particles to move on a spiral path (Fig.2.1. 9A-D) thus increas-
ing the probability of ionization. Since the gyration radii of the electrons are significantly smaller than
those of the ions, an increased electron concentration forms in front of the target material. The latter
also increases the collision and ionization probability in the vicinity of the target. Using a magnetron,
allows one to reduce the applied voltage. The deposition rate is commonly enhanced by a factor of 10
over diode sputtering methods. Magnetron sputtering using magnetron cathodes of different shapes
and geometries for specific purposes, can be combined with several other techniques described above
yielding e.g. rf magnetron sputtering when applying a high frequency ac voltage in order to deposit
insulators or reactive magnetron sputtering when using a reactive gas in order to deposit compound
materials.
In order to avoid inhomogeneous deposition, in many magnetron deposition configurations, the sample
is physically moved during deposition (e.g.in in-line sputtering systems) to effectively average out
non-uniform material flux and to create a uniform film. [Rossnagel,2002].
Another modification employs unbalanced magnetrons [msi-pse] [angstromsciences], a design where
the magnetic flux from one pole is largely unequal to the other. Unbalanced magnetrons increase ion
and electron bombardment of the growing film, at the significant expense of target utilization and in-
sulating film growth on the target surface during reactive sputter deposition. In contrast, high target
utilization designs employ highly balanced magnetrons that confine nearly all the electrons and ions
adjacent to the target surface and spread the plasma volume as wide as possible over the target area.
Balanced magnetrons minimize bombardment of the growing film, increase target utilization and
minimize the formation of insulating films that result in arcing.
Applications:
In semiconductor industry to deposit thin films of various materials onto silicon wafers; thin coatings
on glass for optical applications. Magnetron sputtering at low temperatures is used to deposit gate,
source, and drain metals for thin-film transistors and contacts for PIN diodes.
As stated by Gencoa, full face erosion (FFE) magnetrons specially designed to sputter the full target
face (65%-80%) even in reactive deposition mode could be used for (OLED's) deposition and photo-
lithography mask production [gencoa], as well as for clean target and nodule free sputtering of indium
tin oxide for transparent conducting oxide layers on displays. Furthermore, highly unbalanced magne-

30/11/2005 Dissemination level : Public Page 67/273


IST-017255 MONA Deliverable D1.1 (M4)

trons for the deposition of hard coating types such as titanium nitride (TiN), zirconium nitride (ZrN),
titanium carbo-nitride (TiCN), titanium aluminium nitride (TiAlN), chromium nitride (CrN) etc.,
which are used in machining and cutting tool applications. Highly balanced magnetrons with high
magnetic field strength are used for low voltage sputtering of indium tin oxide (ITO) coatings for a
wide range of visual display applications. Double magnetrons (sometimes called dual magnetrons or
dual cathodes) could be employed for high rate reactive deposition of oxides for display or plastic web
coating (typically titanium dioxide[TiO2], silicon dioxide[SiO2], tantalum pentoxide [Ta2O5]).
[Frey,1986] H. Frey and G. Kienel, in Dünnschichttechnologie, VDI-Verlag, p. 100-133 (1986).

[Rossnagel, 2002] S. Rossnagel, Sputtering and Sputter Deposition, in Handbook of Thin Film Deposition, Noyes Publications, K. Seshan
(Ed.), p. 319-348 (2002).

[Rossnagel, 1999] S:M. Rossnagel, Sputter deposition for semiconductor manufacturing, IBM Research Journal 43, 163 (1999)

[gencoa] http://www.gencoa.com/tech/

[angstromsciences] http://www.angstromsciences.com/technology/angstrom.htm

[msi-pse] http://www.msi-pse.com/magnetron_sputtering.htm

[uccs_trieste] http://www.uccs.edu/~tchriste/courses/PHYS549/549lectures/sputtertech.html

3.2.1.4.2 Ion beam (sputter) deposition, ion implantation and ion assisted deposi-
tion
Setup and working principles:
The typical ion beam deposition
(IBD)/ ion implantation equipment
consists of an ion source, where ions of
the desired species are produced either
by electron ionization of the source
materials, which typically are gases or
evaporated solids or by applying high
electric fields, an accelerator, where the
ions are electrostatically accelerated to
a high energy, a mass separator to
extract the desired ion species and a
target chamber, where the ions impinge
on the substrate to be coated or Fig.2.1. 10 Schematic illustration of the ion beam deposition process
implanted. Deposition and implantation in conjunction with a 2nd source for ion assisted deposition [4waveinc].

of ions require a vacuum at pressures


between 10-5 -10-4 mbar. The ion energies are in the range of 1 to 3000 keV for ion implantation proc-
esses and below 10 keV for ion beam deposition. The penetration depth of implanted ions ranges from
10 nm to 1 μm depending on the ion energy, the ion species and the substrate material.
Ion implantation is a process by which the physical properties of the solid are modified by implanting
ions of a desired species into a solid.
In ion implantation the currents supplied by implanters are typically in the range of a few microam-
peres, and thus the dose, i.e. the amount of material implanted in the target integrated over time, which
can be implanted in a reasonable amount of time is small. Thus, ion implantation finds application in
cases where the amount of chemical change required is small. Commercially available ion implanters
typically deliver ion doses in the range between 1011atoms/cm2 and 1020atoms/cm2. It should be noted

30/11/2005 Dissemination level : Public Page 68/273


IST-017255 MONA Deliverable D1.1 (M4)

that a modern implanter costs about $2-5 million depending on the model and the wafer size it proc-
esses [Rubin, 2003].
Ion beam sputter deposition (IBS) uses an energetic, broad beam ion source, which is focused on a
grounded metallic or dielectric sputtering target. Material sputtered from the target deposits on a
nearby substrate forming a film (see Fig.2.1. 10). Most applications also use a second ion source re-
ferred to as ion assist source for ion assisted deposition (IAD), the latter being directed toward the
substrate to deliver energetic noble or reactive ions at the surface of the growing film. IAD is particu-
larly suited to grow metal oxide and metal nitride films as it improves the stability, density, dielectric
and optical properties of the films. The ion sources are “gridded” sources and typically neutralized
with an independent electron source.
IBS processing provides good control and repeatability of film thickness and properties. Process pres-
sures in IBS systems are ~10-4 mbar. Hence, there is relatively little scattering of either ions from the
ion sources or material sputtered from the target of the surface. Compared to sputter deposition using
magnetron or diode systems, sputter deposition by IBS is highly directional and more energetic. In
combination with a substrate mounting system that enables rotations and tilted angles, IBS systems
provide control over sidewall coatings, trench filling and lift-off profiles.
Applications:
The introduction of dopants in a semiconductor is the most common application of ion implantation.
Dopant ions such as boron, phosphorus or arsenic are generally created from a gas source, providing
high purity. Silicon on insulator (SOI) wafers are also produced based on ion implantation using high
energetic oxygen ion, which are implanted at a high dose into a silicon substrate. In a subsequent an-
nealing steps an insulating oxide layer is formed underneath the Si-surface, i.e. a SOI structure has
been produced.
IBD, IAD and IBS are applied to produce thin films and multilayer structures. Many IBS applications
use an assembly of multiple targets that can be indexed into position to create multilayer thin film
devices.

3.2.1.4.3 Cathodic Arc Deposition


Cathodic arc deposition is a plasma-based technology for the fabrication of films. The environmental
conditions are either high vacuum or a low pressure gaseous environment. Using this technique, thin
films of metals, ceramics, diamond-like carbon, carbon nanotubes, some semiconductors and super-
conductors can be formed.
Principle and setup:
Vacuum arcs, also referred to as cathodic arcs, are high current discharges generated between cold
electrodes. Typical currents are 100 Amperes or more while the voltage between anode and cathode is
only about 20 Volts. The plasma stream can be filtered to remove microdroplet contaminations, and
the ion energy can be controlled by substrate bias, thereby transforming the straightforward deposition
method into hybrids with other surface modification processes such as ion beam assisted deposition,
and ion implantation [Brown, 1998].
The arc evaporation process is initiated by a high current, low voltage arc, striking the surface of a
cathode thus giving rise to a small (usually a few microns wide) highly energetic emitting area known
as a cathode spot. An ionized metal plasma is produced at these mobile cathode spots. The basic de-
sign of such an arc igniting cathode-anode system is shown on the right side of Fig.2.1. 11. However,
not only metal plasma but also tiny droplets of diameter 0.1 - 10 µm are produced. They are usually
referred to as "macro-particles", since they are quite massive compared to plasma particles (ions, elec-
trons, atoms). For some applications, for instance the deposition of thin films with optical quality,

30/11/2005 Dissemination level : Public Page 69/273


IST-017255 MONA Deliverable D1.1 (M4)

macro-particles are not acceptable. Therefore, in order to filter unwanted macro-particles and neutrals,
devices for plasma and macro-particle separation, so called macro-particle filters are used, such as e.g.
high energy electric/magnetic fields, as illustrated in Fig.2.1. 11. This results in the near elimination
of macro-particles in the deposited film. The method is then referred to as filtered cathodic arc plasma
(FCAP) or filtered cathodic vacuum arc (FCVA) technology [NTI].

Fig.2.1. 11 Schematic illustration of a filtered cathodic vacuum arc system (left), the design of cathode and anode (right),
from [NTI].

The localised temperature at the cathode spot is around 15000 °C. A jet of vaporized cathode material
is ejected with a velocity of 10 km/s, leaving a crater behind on the cathode surface. The cathode spot
self-extinguishes after a short period of time and re-ignites in a new area close to the previous crater.
This behaviour causes the apparent motion of the arc. The plasma jet intensity is greatest normal to the
surface of the cathode and contains a high level of ionization (30-100%) multiply charged ions, neutral
particles, clusters and macro-particles (droplets).
Applications:
Thin films in data storage systems (e.g. [NTI]).

3.2.1.4.4 Pulsed Laser Deposition


Pulsed laser deposition is a thin film deposition technique. It uses a pulsed laser beam to carry out
ablation processes to deposit thin films under high vacuum conditions.
Principle and setup:
A pulsed laser beam is focused onto the surface of a solid target. The strong absorption of the electro-
magnetic radiation by the solid surface leads to rapid evaporation of the target materials, directly from
solid to plasma. The evaporated materials consist of highly exited and ionized species. Due to thermal
expansion the resulting plasma plume (see illustration in Fig.2.1. 12) is directed perpendicularly away
from the surface. Since the expansion process cools the plume, it retransforms to a gas. If the vacuum
is sufficiently good, the particles inside the plume will carry enough momentum to reach the substrate,
where they condense into the solid state.

30/11/2005 Dissemination level : Public Page 70/273


IST-017255 MONA Deliverable D1.1 (M4)

For example, solid semiconductor targets can be heated using a high power Nd:YAG laser. Depending
on the selected wavelength (1064, 532, 355, 266 nm) the resulting film morphology varies. Highly-
ordered polycrystalline samples are deposited using PLD.
The adjustable parameters, such as the laser energy density and pulse repetition rate, need to be con-
trolled during the process. The
targets used in PLD are small
compared to the large size re-
quired for other sputtering
techniques. It is relatively
straightforward to produce multi-
layered films of different
materials by sequential ablation
of assorted targets. Furthermore a
fine control of film thickness
down to monolayers can be
achieved by controlling the number
of pulses. Another important Fig.2.1. 12 Illustration of PLD process; from [harvard]
feature of PLD is that the
stoichiometry of the target material
can be retained in the deposited films. This is the result of the extremely high heating rate of the target
surface due to pulsed laser irradiation. It leads to the congruent evaporation of the target irrespective of
the evaporating point of the constituent elements or compounds of the target. And because of the high
heating rate of the ablated materials, laser deposition of crystalline film demands a much lower sub-
strate temperature than other film growth techniques. For this reason PLD is suited for thin film depo-
sition of semiconductors without thermally destroying underlying integrated circuits.
Applications: semiconductor industry.
[Brown, 1998] I. G. Brown, Cathodic Arc Deposition of Films, Annual Review of Materials Science 28, 243-269 (1998)
[harvard] http://deas.harvard.edu/matsci/research/images%201/fig1.html.

[kottan] http://kottan-labs.bgsu.edu/index.php?res.file

[NTI] http://www.nanofilm.com.sg/eng/fcva_technology.htm

[polyu] http://ap.polyu.edu.hk/pld/PLD.html

[Rubin, 2003] L. Rubin, Ion Implantation in Silicon Technology, The Industrial Physicist, Feature Article June/July 2003, p.12-15.

[Zang] Y.J. Zang et al., Effects of substrate bias and argon flux on the structure of titanium nitride films deposited by filtered cathodic arc
plasma, WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim (2005), http://www.paper.edu.cn.

[4waveinc] http://www.4waveinc.com/ibd.html

30/11/2005 Dissemination level : Public Page 71/273


IST-017255 MONA Deliverable D1.1 (M4)

3.2.1.5 Sol-Gel Technology


Sol-Gel technology denotes a wet chemical, low temperature technique used for the fabrication of
powders, coatings of dense or porous bulk materials, fibers, composite materials and hybrid organic-
anorganic materials. The method is based on the phase transition from a liquid sol into a solid gel
phase. The advantages of the sol-gel methods are its versatility and the possibility to obtain high purity
materials (shaped as monolithic blocks, powders or thin layers), whose composition can be well con-
trolled. A sol is defined as a stable suspension of low dimension particles (1 to 1000 nanometres in
size), dispersed in a solvent liquid. The sol is usually obtained from inorganic metal salts, or metal-
organic precursors, which are suspended into an aqueous solution charged with some catalysing acid
or base employed to moderate the chemical reaction. Due to hydrolysis or condensation fine particles
are generated from the precursor materials in the solution. Sols are basically stabilised only by electric
interactions between the
particles. During a phase
transition, the sol is polymerized
at low temperatures to form a wet
gel. The gel can be described as a
three-dimensional interconnected
network, expanded inside the
liquid. The latter is then
densified through thermal
annealing to an inorganic product
like a glass or poly-crystal or a
dry gel. Applying the sol-gel
process, it is possible to fabricate
ceramic or glass materials in a
wide variety of forms: ultra-fine
or spherical shaped powders, thin
film coatings, ceramic fibers,
micro-porous inorganic
Fig.2.1. 13 Diagram of Sol-Gel technologies; from [chemat].
membranes, monolithic ceramics
and glasses, or extremely porous
aerogel materials. Different material compositions with different porosity may be fabricated depending
on the process parameters (such as temperature) and the chemicals employed. This is schematically
illustrated in the diagram given in Fig.2.1. 13. If the liquid in a wet "gel" is removed under a super-
critical condition, a highly porous and extremely low density material called "aerogel" is obtained. The
supercritical condition is realised by exchanging the liquid solvent with CO2 The latter is then re-
moved at slightly elevated temperatures and under high pressure. Thus aerogels can be produced
where 99% of the bulk material consists of pores. Evaporation of the liquid may produce a more dense
Xerogel which may be further densified by heating processes to form a dense ceramic material. As the
viscosity of a "sol" is adjusted into a proper viscosity range, ceramic fibers can be drawn from the
"sol". Ultra-fine and uniform ceramic powders are formed by precipitation, spray pyrolysis, or emul-
sion techniques.
Applications:fabrication of organically modifed sol-gels as novel recognition membranes for mid-
infrared fiber-optic sensors [Janotta, 2003], glass matrix doped with quantum dots [Jan, 2000].
[chemat] http://www.chemat.com/html/solgel.html.

30/11/2005 Dissemination level : Public Page 72/273


IST-017255 MONA Deliverable D1.1 (M4)

[Jan, 2000] Jan et al., Temperature Induced Stress of ZnSe Quantum Dots in Glass Matrix Thin Films Grown by Pulsed Laser Deposition,
Chin. J. Phys. 39, 90 (2000).

[Janotta, 2003] M. Janotta et al., Sol-Gel-Coated Mid-Infrared Fiber-Optic Sensors, Appl. Spectroscopy 57, 823 (2003).

3.2.1.6 Spin Coating


Spin-Coating is a mechanical deposition technology used for the preparation of homogeneous thin
films, in particular of (polymeric) photo resists on top of a flat
substrate. The substrate or wafer is mounted on a rotation table
called chuck and fixed to it applying a negative pressure.
As schemed in Fig.2.1. 14, an excess amount of polymer solution
is placed on the wafer substrate using e.g. a nozzle, above the cen-
tre of the wafer. The substrate is then rotated at high speed (usually
> 1000 rpm) in order to spread the fluid by centrifugal force. Rota-
tion is continued for some time, with fluid being spun off the edges
of the substrate, until the desired film thickness is achieved. The
solvent is usually volatile, providing for its simultaneous evapora-
tion. Acceleration, the final rotation speed and the coating time are
the parameters which can be set up at the coater tool in order to
form homogeneous films of varying thickness between 50 nm and
Fig.2.1. 14 schematic of spin
2 microns. In addition to the preset parameters described before, coating process; from [spcoat]
the final resulting layer thickness depends upon the resists viscos-
ity. The method is applied in microtechnology to coat wafers with photo resists, which subsequently
are exposed to lithographical methods for patterning [spcoat], [mse, 2000].
[mse, 2000] http://www.mse.arizona.edu/faculty/birnie/Coatings/KeyStages.htm

[spcoat] http://www.polymerprocessing.com/operations/spcoat/:

3.2.2 Self Assembly

Self-assembly describes a group of bottom-up techniques, where larger units are build up of smaller
sub-units with the assembly being based on the interaction between the sub-units. In contrast to con-
ventional lithographical techniques self-assembly may represent a cost effective alternative for the
fabrication of certain nanostructures.
Variants of self-assembled growth:
The self-assembled formation of low-dimensional nanostructures can e.g. be based on the so called
Stranski-Krastanow growth in hetero-epitaxy, i.e. particles deposited on a surface rearrange into small
islands in order to minimize strain. The islands are often formed on a wetting layer which is only a few
atoms thick.
Another approach uses the fact that single layers of some organic molecules chemically attach to solid
substrate surfaces under certain conditions. The molecular species forming these so called self-
assembled monolayers (SAMs), are amphiphilic and consist usually of a polar head group, a long
chain alkyl group and a functional tail. SAMs are typically produced by solution based techniques.
A third variant is the growth on a pre-etched and undulated substrate in which nano-wires are formed
in the bottom of V-grooves that develop during the selective growth on certain crystalline facets.

30/11/2005 Dissemination level : Public Page 73/273


IST-017255 MONA Deliverable D1.1 (M4)

Metallic nano-particles acting as a catalyst are often used to induce the growth of a homogeneous rod
of a particular material, such as reported for the generation of semiconductor nanorods [Samuelson,
2003], whose diameter then corresponds to that of the catalytic nano-particle.
Different morphologies are generated using self-assembly, such as rods, nanotubes consisting of one
or more cylindrical layers of atoms wrapped up in a coaxial fashion, nanobowls [Wang, 2004] and
others.
Self-assembly is particularly suited to produce simple periodic structures, which is sufficient to many
applications. A lateral resolution of below 10 nm can be achieved applying processes of self assembly.
However one has little control over the long-range order of a patterned surface and the exact position-
ing of individual nanostructures.
(Periodic) starting points for self-assembly:
Reliable and cost effective methods for pattern formation of periodic nanoscale structures are needed
to initiate the self-assembly of nanostructures of the desired material at the desired locations.
Therefore hybrid techniques have been developed known as "directed" or "templated" self-assembly.
Hybrid techniques combine conventional methods and self-assembly while profiting from the respec-
tive advantages in both approaches. Furthermore new possibilities emerge from the application of
hybrid technologies. As an example the chemical and physical properties of a surface may be modified
by radiation such that the interaction between molecules, nanoparticles or nanotubes with the irradi-
ated surface is significantly different compared to a surface which has not been irradiated.
Another example is self-assembled growth which is based on periodically arranged features composed
of catalytic materials. The generation of periodically arranged starting points for the growth of
nanowires / nanorods often is based on the existence of periodic arrays of catalytic metal dots, such as
gold dots, but also chromium, nickel, iron and gallium dots by conventional lithography. The position
of these metal dots defines the position of the nanostructures growing by self-assembly.
Two sorts of hybrid processes may be distinguished: the first approach, called “directed self-
assembly”, employs conventional techniques to predefine the locations where the self assembled struc-
tures will grow. In the second approach, denoted “templated self-assembly”, self-assembled structures
serve as a mask or template
which may be etched away
after depositing the desired
nano-material filling the
gaps.
Directed self-assembly
using conventional
lithography:
As an example, InGaAs
/Ga(Al)As quantum dots Fig.2.2. 1 Combination of conventional photo-lithography used to deposit catalytic metal
can be produced using particles and the subsequent self-assembly of carbon nanotubes at the predefined positions

conventional lithography to create a regular pattern of nanoscaled holes or grooves on the surface.
During subsequent deposition processes of InGaAs and Ga(Al)As, these materials preferentially nu-
cleate at the position of the holes, where stress is induced in the overlying layers which is replicated
from the bottom to the top of the multilayer structure, thus defining the quantum dot. Similar ap-
proaches to the fabrication of quantum dots have been reported, where stress is induced artificially
using lithography in order to control the position of quantum dots. [Lee, 2001].

30/11/2005 Dissemination level : Public Page 74/273


IST-017255 MONA Deliverable D1.1 (M4)

In order to control the position of self-assembled CNTs, lithographical techniques are employed to
produce a periodic pattern of Ni-nano-dots. The Ni-dots act as catalyst to subsequent growth of nano-
tubes at the desired positions [Teo, 2001]. Depending on the size of the Ni-dots either one (Fig.2.2. 1,
right) or several nanotubes (Fig.2.2. 1, left) grow on top of a dot. Other approaches which combine the
growth of CNTs with lithographical techniques may be found in [Dai, 2003].
The lateral resolution in the previously mentioned approaches is limited by the resolution imposed by
the lithographical technique employed. However, some tricks may be used to create smaller structures
in a so called “downscaling process”, while the minimum distance between features will always be
determined by the resolution which is imposed by the lithographical technique.
As an example Kim et al. [Kim, 1998] used etching technology in order to create holes on the silicon
dioxide surface, thus uncovering the underlying silicon. In
a subsequent Ge-deposition process, Ge islands were de-
posited inside the holes. Size and amount of these islands
could be controlled varying the growth temperature. In
this way it was possible to deposit a single Ge-island in-
side the hole whose size was smaller than the hole. There-
fore, using this method nanostructures can be produced
with dimension smaller than those defined be the litho-
graphically created structures. However, it is not possible
to control the lateral position of the individual islands
inside the hole.
Fig.2.2. 2 Schematic illustration of the „downscaling“
Hatzlor et al. used another approach, which they named process as suggested by Weiss (source: [Hatzlor, 2001]).

“lithographical downscaling”, in order to produce struc-


tures with a size which cannot be achieved lithographically. They deposited a self-assembling film on
top of a lithographically prepatterned film (Fig.2.2. 2, top). After deposition there remains a slit be-
tween the features. The size of the slit can be controlled by an appropriate choice of the molecular
species in the self-assembled film. The surface of the self-assembled film is then coated with a metal
film (Fig.2.2. 2, center). Removing the self-assembled molecules by wet-chemical treatment, leaves
structures with sizes below the resolution limit imposed by the lithographical process (Fig.2.2. 2, bot-
tom). However the distance between these features continues to be determined by the preceding litho-
graphical process
[Hatzlor, 2001].
In addition to the pos-
sibility of predefining
the lateral positions of
self-assembled struc-
tures, conventional
lithography allows one
to create areas with Fig.2.2. 3 Prepatterning of the surface to form alternating polar and non-polar regions with an
appropriate induces self-assembled growth of perpendiculary oriented blockcopolymers [source:
different chemical and [Register, 2003]).
physical properties,
which affect the subsequent processes of self-assembly in a different way.
As an example Rao et al. have demonstrated that single walled carbon nanotubes (SWNTs) contained
in a solution exclusively deposit at polar surface regions, when the surface area is composed of polar
and non-polar regions [Rao, 2003].
It is further possible to control the orientation of self-assembled blockcopolymers on top of a surface

30/11/2005 Dissemination level : Public Page 75/273


IST-017255 MONA Deliverable D1.1 (M4)

which has been prepatterned lithographically. As schematically illustrated in Fig.2.2. 3, alternating


polar and non-polar regions whose periodicity does match the period of the blockcopolymers, leads to
a perpendicular alignment of the polymeric material. Whereas uni-polar surfaces lead to a parallel
orientation of the polymers [Kim,
2003].
Gölzhäuser et al. used electron
beams in order to transform Ni-
tro-groups as part of a self-
assembled monolayer into
Amino-groups. The latter can be
functionalized by selectively
attaching other molecules to them
Fig.2.2. 4 Application of conventional lithography in order to control the align-
[Gölzhäuser, 2001]. ment in self-assembled blockcopolymer films (source: [Cheng, 2002]).

Fig.2.2. 4 shows a surface coated


with a self-assembled blockcopolymer film with (right) and without (left) using lithography in a pre-
ceding patterning process [Cheng, 2002].
Templated self-assembly:
Self-assembled films composed of molecules or nanoparticles may serve as templates either in a sub-
sequent etching or deposition step. This concept has already been proposed by Deckmann in 1982 and
was named “natural lithography” (NL) [Deckmann, 1982]. Another commonly used name, denoting
templated self-assembly, is “nanosphere lithography”, which has been introduced by Duyne in 1994
[Hulteen, 1995]. His team explored self-assembled films consisting of polystyrol-spheres which
served as a mask during metal
deposition processes (see
Fig.2.2. 5).
Nanosphere lithography is a
promising concept whenever
periodic structures have to be
produced in a cost effective
way. These structures can find
application in “nanocrystal-
flash” storage devices, in wave Fig.2.2. 5 Concept of„nanosphere lithography“ as suggested by Duyne in 1994.
left: polystyrol-spheres form a self-assembled film on top of the substrate surface. The
guides, in high density mag- film serves as a mask in a subsequent CVD-process.
right: A periodic structure composed of the deposited material (here.: Ag) forms at the
netic storage devices or in bio- surface after an etching step (source: [Hulteen, 1995]).
chips.

30/11/2005 Dissemination level : Public Page 76/273


IST-017255 MONA Deliverable D1.1 (M4)

Another example for templated self-


assembly are blockcopolymers serv-
ing as a mask in subsequent etching
or deposition steps (see e.g.[Park,
1997]). The properties of self-
organized blockcopolymers can be
tuned by varying the environmental
conditions.
Haupt et al. [Haupt, 2001] employed
micelles consisting of blockcopoly-
mers and charged them with metal
salts. Immersing a semiconducting
Fig.2.2. 6 left: Schematic illustration of the method destribed in the text material in a solution containing these
right: AFM-images of as-prepared AlGaAs/GaAs-cylinders
(source: ([Haupt, 2002]) charged micelles, initiates the self-
assembled growth of a well ordered
monolayer of micelles on top of the semiconductor surface. During a subsequent plasma treatment
process, the organic components are removed, leaving metal clusters with diameters of about 15 nm
and distances between 50 nm and 130 nm. The metal clusters themselves may serve as a mask during
a subsequent etching process. Using this method GaAs-nano-cylinders with a height of about 80 nm
have been produced (see Fig.2.2. 6).
Glass et al. extended the concept
proposed by Haupt et al. using
conventional lithography in order
to prepare the substrate surface
before depositing the micelle-layer.
Due to capillary forces the micelles
preferentially deposit at the edges
of the predefined structures.
In a second approach also proposed
by Glass et. al. a micellar
Fig.2.2. 7 Two examples illustrating the combination of conventional lithography
with self-assembly of diblockcopolymers. Left: Self-assembly and photo-lithography;
right: Patterning process based on exposure to an electron beam (source: [Glass, 2003]).

monolayer is directly patterned using an electron beam. In


comparison to etching methods electrons enhance the stabil-
ity of micelles, so that exclusively those micelles are removed
during subsequent lift-off and plasma treatments, which have
been irradiated before [Glass, 2003]. For an illustration of the
described processes see Fig.2.2. 7.

Fig.2.2. 8 Schematic illustration of the prepara-


tion steps necessary to produce an array of nanocrys-
tals. The process is based on a porous polymer film
grown by self-assembly (source: [Guarini, 2003]).

30/11/2005 Dissemination level : Public Page 77/273


IST-017255 MONA Deliverable D1.1 (M4)

Another approach to templated lithography using block copolymers in order to produce “nanocrystal
flash” storage devices has been presented at the International Electron devices Meeting 2003 by IBM
[Guarini, 2003]. In this approach the substrate surface is spin coated with a solution containing
diblockcopolymers. The diblockcopolymer is composed of polystyrol (PS) and polymethylmethacrylat
(PMMA) and forms a hexagonal densely packed structure of PMMA-cylinders which are located
within a PS matrix. Subsequently the PMMA is removed during an etching process, leaving the PS-
matrix. Another etching step transfers the structure of the PS-matrix to the underlying oxide-layer.
Thereafter amorphous silicon is deposited generating Si-nanocrystals with diameters of about 20 nm
(see Fig.2.2. 8). This size is basically determined by the molecular weight of the polymers which have
been used, providing the possibility of scaling the structure size. This approach based on self-
assembled polymers has a clear advantage when compared to alternative techniques, such as ion im-
plantation, CVD or aerosol
techniques, since it provides a sharp
distribution of sizes und periodic
alignment of the structures
generated. IBM has proposed this
method for the first time in 2001
sug- gesting to employ it for the
production of capacitors in DRAM
de- vices [Black, 2001].
Thurn- Albrecht et al. have published
another process for generating
nanowires which is based on self-
assembled blockcopolymer films
[Thurn-Albrecht, 2000]. In this
Fig.2.2. 9 Schematic illustration of the production of nanowires
using a self-assembled blockcobpolymer structure as a template process one component of the block-
(sourec:[Thurn-Albrecht, 2000]).
copolymer-film is selectively etched
and the gaps are subsequently filled with
Co-metal (see Fig.2.2. 9).
As an example two hybrid preparation processes suited for the production of nanowires will be de-
scribed in more detail in the following paragraph:
VLS Vapor Liquid Solid growth mode:
The VLS technique was initially developed for the fabrication of micron-scaled whisker structures
[Wagner, 1970]. Using this process, crystalline micro- and nanowires can be produced using tiny
metal droplets as catalysts. The process may be divided in two steps:
a) the nucleation and growth of an alloy droplet
b) the actual growth of the nanowires.
Starting with a clean, single crystalline and nearly defect-free surface, tiny metal droplets are depos-
ited on a wafer surface using different techniques to produce (periodic) starting points for the growth
of self-assembled nanostructures (see above). After this pre-treatment, a precursor gas is employed,
which contains the designated nanowire material as an atomic component or in the form of a molecu-
lar complex. Using a CVD based process technique, the gas is directed into the reaction chamber to
pass the substrate surface held at elevated temperatures (between 300-1000°C depending on the mate-
rial used) in order to produce a liquid droplet at the surface. As the gas atoms or molecules impinge on
the surface they cling to it for some time and may diffuse on the surface, before a large fraction of
them desorbs again. It is only a small fraction which is really incorporated to the substrate surface. In

30/11/2005 Dissemination level : Public Page 78/273


IST-017255 MONA Deliverable D1.1 (M4)

contrast, nearly all those atoms/ molecules impinging on the surface of the metal droplet, are adsorbed.
In the case of molecular complexes as precursor gas components, the metal surface of the droplet acts
as a catalyst, breaking the intermolecular bonds and initiating the incorporation of the desired atomic
species.
Next, the atoms are solved in the liquid metal droplet. Choosing appropriate preparation parameters,
such as temperature and gas flow, the desired component in the alloy droplet supersaturates and depos-
its preferably at the liquid/solid interface. Thus the epitaxial growth of the nanowire is initiated at that
interface. The crystallographic orientation of the nanowire corresponds to that of the underlying sub-
strate surface. The metal droplet remains at the top of the wire. The diameter of the wire is determined
by the size of the metal droplet and varies between 2 nm and a few microns. The length of the wire is
determined by the preparation technique employed and can be up to 10 microns.
Au/Si alloys have been used, but also other metal-semiconductor alloys, such as Ga-As-Au melts
[Samuelson, 2003]. A thin Au film is used as a catalyst mate-
rial.
The vapor solid process:
In some special cases liquid metal droplets are not needed,
but one gaseous component of the precursor gas adopts the
role of the catalyst. The fabrication of ZnO and GaN
nanowires is an example for the application of the solid vapor
process. The latter is based on the low melting and sublima-
tion temperatures of Zn and Ga. Starting point for the
growth/crystallisation in a vapor solid process may be struc-
tural defects at the substrate surface, the latter leading to an
early sublimation of the desired gas component.
Self-assembled polymers:
Self-assembled macromolecules often form superstructures
Fig.2.2. 10 Schematic illustration of self- and there exists a variety of different possible superstructures
assembled A/B structures, source: [Förster,
2004]. depending on the environmental conditions during the depo-

sition process. The dimensions of


these superstructures are in the
range of a few nanometers to sev-
eral micrometers. The interplay of
supramolecular physics and chem-
istry opens up a variety of new
approaches in order to fabricate
inorganic, organic and biological
structures and to combine them to
form functional units. Possible
applications arise starting from the
production of inorganic (metallic,
semiconducting, magnetic)
nanoparticles to mesoporous mate-
rials and finally to take up/ release
systems which may be used for
Fig.2.2. 11 Self-assembled superstructures of AB-blockcopolymers source: [Förster,
medical applications. 2004].

30/11/2005 Dissemination level : Public Page 79/273


IST-017255 MONA Deliverable D1.1 (M4)

Self-organizing polymers are thermodynamical systems. A phase transition from the well ordered state
to a disordered state can be induced upon changing one of the thermodynamic field variables. Vari-
ables which are relevant to technical applications are e.g. temperature, chemical potential (pH, concen-
tration), pressure, electric and magnetic fields. The coexistence of long-range repulsive forces and
short-range attractive forces is essential to the formation of well ordered structures on the molecular
level. Molecular species fulfilling these requirements self-assemble spontaneously to form ordered
structures in order to minimize the amount of energetically less favourable A/B-contacts, as schemati-
cally illustrated in Fig.2.2. 10. The most simple realization of polymeric A/B structures are AB-
blockcopolymers, providing a variety of possible superstructures (see Fig.2.2. 11).
By now the self-assembly of blockcopolymers is quite well understood and the polymeric systems can
be tuned to form specific superstructures by controlling the length of the blocks. As schematically
illustrated in Fig.2.2. 12 it is possible to produce structured systems across several hierarchical steps.
Polymeric superstructures are stable thus they are well suited to serve as nanoreactors or in templated
self-assembly.
Carbon Nanotubes:
Carbon nanotubes (CNT) are cylindrical carbon molecules with at least one end capped with a hemi-
sphere of the buckyball structure. CNTs belong to the fullerene structural family. The diameter of a
CNT can be on the order of a few nanometers,while their length can be up to several centimeters.
There are two main types of nanotubes: single-walled nanotubes (SWNTs) and multi-walled nano-
tubes

Fig.2.2. 12 Well defined generation of hierarchical superstructures based on self-assembled polymers,


source: [Förster, 2004].

(MWNTs). Nanotubes naturally align themselves into "ropes" held together by Van der Waals forces.
The structure of a SWNT can be visualized by wrapping a one-atom-thick layer of graphite (called
graphene) into a seamless cylinder [Daenen, 2003]. The covalent bonds in CNTs cause very high
tensile strengths [Forro, 1999]. In theory, metallic nanotubes can have an electrical current density
more than 1,000 times higher than metals such as silver and copper [Daenen, 2003].
Nanotubes are expected to be good thermal conductors along the tube axis, exhibiting a property
known as "ballistic conduction," but good insulators laterally to the tube axis.

30/11/2005 Dissemination level : Public Page 80/273


IST-017255 MONA Deliverable D1.1 (M4)

Different techniques have been developed to produce carbon-nanotubes in sizeable quantities, but their
cost still prohibits any large scale use. Naturally occurring varieties are highly irregular in size and
quality, and the high degree of uniformity necessary to meet the needs of research and industry is im-
possible in an uncontrolled environment. There are several methods employed to make nanotubes,
such as arc discharge, laser ablation, and chemical vapor deposition (CVD) in conjunction with self-
assembly. In general, the CVD method has been preferably used to produce larger quantities of nano-
tubes at lower cost. In order to produce SWNTs the CVD process involves the reaction of a carbon-
containing gas (such as acetylene, ethylene, ethanol, etc.) which is thermally decomposed, with a
metal catalyst particle (usually cobalt, nickel, or iron) at temperatures above 600°C. The nanotubes
self-assemble at the position of the catalytic particles and their size is determined by the size of the
catalytic particle.
The CVD process is highly flexible due to the possibility of controlling the growth parameters, and
allows the generation of CNTs in a variety of different forms, such as powder, thin or thick films,
aligned or entangled, straight or coiled or a designed pattern.
Applications:
Altogether hybrid techniques provide a huge potential to future applications, starting in the field of
nano-electronics (see e.g. self assembled semiconductor wires: [Morales, 1998], self-assembled nano-
lasers: [Huang, 2001]) to molecular electronics and nano-biotechnology. Since various molecular spe-
cies can be used for self-assembly this opens up the development of various new nano-devices for
different applications.
[Ando, 2004] Y. Ando, Growing Carbon nanotubes, materialstoday, issue october 2004, 22 (2004).

[Black, 2001] Black C. T., Guarini K. W., Milkove K. R., Baker S. M., Russell T. P., und Tuominen M. T., Integration of self-assembled
diblock copolymers for semiconductor capacitor fabrication, Applied Physics Letters, Vol. 79(3), 409 - 411 (2001).

[Cheng, 2002] Cheng J. Y., Ross C. A., Thomas E. L., Smith H. I. und G. J. Vancso, Fabrication of nanostructures with long-range order
using block copolymer lithography, Applied Physics Letters, Vol 81.(19), 3657 - 659 (2002).

[Daenen, 2003] M. Daenen et al., “The Wondrous World of Carbon Nanotubes: a review of current nanotube technologies”, Eindhoven
University of Technolog., February 2003: http://students.chem.tue.nl/ifp03/default.htm.

[Deckmann, 1982] Deckman H. W. und Dunsmuir J. H., Natural lithography, Applied Physics Letters, Vol. 41(4), 377 - 379 (1982).

[Dai, 2003] Dai L., He P. und Li S., Funcionalized surfaces based on polymers and carbon nanotubes for some biomedical and optoelec-
tronic applications, Nanotechnology, Vol. 14, 1081 - 1097 (2003).

[Förster, 2004] S. Förster, Selbstorganisierende Polymere zur Nanostrukturierung von Materialien, erschienen in:
Eickenbusch H., Hoffknecht A., Holtmannspötter D., Wechsler D., Zweck A., Fachgespräch, Analyse, Ausblick: Ansätze zur technischen
Nutzung der Selbstorganisation, Zukünftige Technologien, Band 55, ISSN1436-5928, (2004). Hrsg. VDI-Technologiezentrum, Düsseldorf
(2004).

[Forro, 1999] L. Forró et al., ELECTRONIC AND MECHANICAL PROPERTIES OF CARBON NANOTUBES, Nanotube-99,

[Glass, 2003] Glass R., Möller M., Spatz J. P., Block copolymer micelle nanolithography, Nanotechnology 14, 1153 - 1160 (2003).

[Gölzhäuser, 2001] Gölzhäuser A. u. a., Chemical Nanolithography with Electron Beams, Adv. Mater., Vol. 13, No. 11, 806 - 809 (2001).

[Guarini, 2003] Guarini K. W., Black C.T., Zhang Y., Babich I. V., Sikorski E. M. und Gignac L. M., Low voltage, scalable nanocrystal
Flash memory fabricated by templated self assembly, International electron Devices Meeting, IEEE Catalog Number: 03CH37457, ISBN: 0-
7803-7872-5 (2003).

http://ipn2.epfl.ch/CHBU/papers/ourpapers/Forro_NT99.pdf (1999).

30/11/2005 Dissemination level : Public Page 81/273


IST-017255 MONA Deliverable D1.1 (M4)

[Hatzlor, 2001] Hatzlor A. und Weiss P. S., Molecular Rulers for Scaling Down Nanostructures, Science, Vol. 291, 1091 - 1020 (2001).

[Huang, 2001] M.H. Huang et al., Room-Temperature Ultraviolet Nanowire Nanolasers, Science 292, 1897 (2001).

[Hulteen, 1995] Hulteen J. C. und Van Duyne R. P., Nanosphere lithography: A materials general fabrication process for periodic particle
array surfaces, J. Vac. Sci. Technol. A 13(3), 1553 - 1558 (1995).

[Iijima, 1991] S. Iijima, Nature 354, 56 (1991).

[Kim, 1998] Kim E. S., Usami N. und Shiraki Y., Control of Ge dots in dimension and position by selective epitaxial growth and their opti-
cal properties, Applied Physics Letters, Vol. 72(13), 1617 - 1619 (1998).

[Kim, 2003] Kim S. O. u. a., Epitaxial self-assembly of block copolymers on lithographically defined nanopatterned substrates, Nature, Vol.
424, 411 - 414 (2003).

[Kosiorek, 2004] A. Kosiorek, Shadow Nanosphere Lithography: Simulation and Experiment, Nanoletters 4, 1359 (2004).

[Lee, 2001] Lee H., Johnson J. A., He M. Y., Speck J. S. und Teroff P. M., Strain-engineered self-assembled semiconductor quantum dot
lattices, Applied Physics Letters, Vol. 78, No. 1, 105 - 107 (2001).

[Morales, 1998] A.M. Morales et al., A laser Ablation Method for the Synthesis of Crystalline Semiconductor Nanowires, Science 279, 208
(1998).

[Park, 1997] M. Park et al., Block Copolymer Lithography : Periodic Arrays of ~1011 Holes in 1 Square Centimeter, Science 276, 1401
(1997).

[Rao, 2003] S. G. Rao et al., Large-scale assembly of carbon nanotubes, Nature 425, 36-37 (2003).

[Register, 2003] Register R. A., On the straight and narrow, Nature, Vol. 424, 378 - 379 (2003).

[Samuelson, 2003] L. Samuelson, Self-forming nanoscale devices, materialstoday, issue october 2003, 22 (2003).

[Teo, 2001] Teo K. B. K. u. a., Uniform patterned growth of carbon nanotubes without surface carbon, Applied Physics Letters, Vol. 79, No.
10, 1534 - 1536 (2001).

[Wagner, 1970] R. S. Wagner, In: Whisker Technology, Levitt, A.P., (ed) Wiley, New York, (1970).

[Wang, 2004] X. D. Wang et al., Large-Scale Fabrication of Ordered Nanobowl Arrays, Nanoletters 4, 2223 (2004).

30/11/2005 Dissemination level : Public Page 82/273


IST-017255 MONA Deliverable D1.1 (M4)

3.2.3 Printing Technologies

One of the advantages in using organic materials, such as polymers for manufacturing electronic de-
vices is the possibility to use printing techniques which enable high throughput of large area devices,
such as e.g. large area displays. It is aimed to even produce organic thin film transistors (TFTs) em-
ploying printing techniques, but there is a number of issues still to be solved. However some reduction
in cost can already be achieved employing hybrid processing technologies in the production of dis-
plays using several low cost printing and dispensing techniques whenever it is possible, while other
processing steps still have to be performed using conventional techniques such as photolithography or
vapor deposition processes.

As an example sealing layers, such as used for sealing front- and rear-panels of displays, and other
thick layers (i.e. thicknesses of the order of microns) typically are produced using screen printing.
However screen printing processes have also been reported to be employable for the deposition of 15
nm thin films used e.g. for the fabrication of organic light-emitting devices (see e.g. ref. [Jabbour,
2001] and chapter 4.2.4.3). For the fabrication of such thin films via screen printing, a good control
over the solution viscosity of the material to be printed, as well as the screen mesh count is essential.
Another printing technology, inkjet printing, is more often employed for the deposition of nanometer
thin films. Inkjet printing is based on the concept of dispensing a solution of the material to be printed
using a printhead with several controllable nozzles.
Printing techniques in conjunction with other in-line fabrication techniques can be employed in roll-to-
roll (R2R) methods.

3.2.3.1 Screen Printing


Setup:
The elements of screen printing are the screen with mesh openings defining the pattern to be printed,
the screen frame, a squeegee, the ink
material and the substrate. The sche-
matic setup is illustrated in Fig.2.3. 1.
In screen printing a squeegee is used
to press the ink-material through a
mesh that is fixed to a frame. The
latter is typically made of massive
aluminum alloys for the production
of large area displays (e.g. LCDs).
Aluminum pipes may be used for
other applications. During printing
the screen frame has to stay flat and Fig.2.3. 1 Schematic Illustration of screen printing, (source: [crystec]).
square and therefore, it has to with-
stand several forces acting on it during the printing process, since distortions of the frame cause distor-
tions of the mesh at the edges of the screen.
Larger frames, causing the screen to be less deflected, are used to reduce distortions and to achieve a
better resolution of the printed pattern. For printing seals on glass plates only 25-35% of the screen
size is used for the image [crystec].
Resolution:
Throughput and resolution both depend on the dimensions of the mesh openings, leading to compro-

30/11/2005 Dissemination level : Public Page 83/273


IST-017255 MONA Deliverable D1.1 (M4)

mises in selecting the mesh size: a coarse mesh enables fast processing and high throughput but at low
resolution, while a fine mesh enables better resolution but causes problems when pressing highly vis-
cose materials through the screen. As an example, a good resolution is important for LCD manufactur-
ing and silk screens are used for printing. High resolution silk screens have more than 130 meshes per
cm, enabling printed line width down to 50µm. The layer thickness is in the range of 10µm or below
[Jabbour, 2001].
The mesh openings which define the pattern to be printed are generated by preceding photo-
lithographical steps
Working principle:
The squeegee forces the print material through the mesh. This process depends upon the mesh opening
size and the viscosity of the material. The squeegee further keeps the mesh in contact with the sub-
strate, a process, which depends upon the screen tension and off-contact distance.
Excess print material has to be removed from the screen by the squeegee which affects the thickness of
the printed layer, as well as image definition.
Squeegee:
Squeegees for seal printing are made from a stiff material like hard polyurethane to avoid bending
during printing. Hard blades are required to withstand the high pressure needed to print at high speed
or with high-opacity, high-viscosity materials on glass plates. However, the harder the blade material,
the lower the ability to print an even layer of ink on an uneven surface.
Squeegees are available in various shapes. The shape also determines the adaptability of the squeegee
and limits the amount of force acting on the printing surface. For seal printing, typically squeegees
with a square shape are used. The squeegee edge must be sharp. The angle of the squeegee to the mesh
defines the amount of material to be printed and. Pulling the squeegee at 15-20º off the vertical direc-
tion, ensures an even deposit of material. The setting of the exact angle depends in part on the squee-
gee's profile and its hardness.
The possible speed of the squeegee depends again on the hardness of the squeegee and the viscosity of
the printed material. It has to be compatible to the printing pressure.
3.2.3.2 Inkjet Printing
Setup and Working Principle:
Ink-jet printers operate by forming
a pattern of individual ink droplets
on a substrate surface, using a
printhead with an array of nozzles
to dispense the ink. The generation
of the dot matrix image is com-
puter-controlled. Two types of ink-
jet printers are distinguished differ-
ing in whether the "jet" of ink drop-
lets is continuous or “dropped”
when needed. In continuous spray
systems, an electric charge is used
to deflect ink drops, which are not Fig.2.3. 2 Basic configuration of a piezoelectric printhead (source: [Le, 1998]).
needed, to an ink recycling unit. In
a drop-on-demand system, drops of ink are produced only when they are needed for imaging. Drop-

30/11/2005 Dissemination level : Public Page 84/273


IST-017255 MONA Deliverable D1.1 (M4)

on-demand systems are less complicated than continuous systems, since drop charging and deflecting
hardware is not needed and less ink is used. However, they print more slowly.
Nevertheless, in today’s applications typically drop-on-demand systems are used. Depending on the
mechanism used in the drop formation process, different drop-on-demand systems can be distin-
guished. The most relevant drop formation processes employed are either thermal or piezoelectric ink-
jet printing. In a thermal ink-jet system ink droplets are ejected from a nozzle by the growth and col-
lapse of a water bubble being located at the top surface of a small heater located near the nozzle ori-
fice. In piezo-driven ink-jet systems a piezo-ceramic is used, which moves, when a voltage is applied.
The motion of the ceramic creates pressure acting upon a defined ink-volume and ink droplets are
ejected. Piezo-ceramic and ink are typically separated by a diaphragm in order to avoid undesired in-
teractions between piezo-driver materials and ink. The basic setup of a print-head is schematically
illustrated in Fig.2.3. 2. For further details on ink-jet technology see e.g. [Le, 1998].
Applications:
As an example, a possible application is ink-jet printing of polymeric RGB color filters or other or-
ganic materials needed for OLEDs, used for the fabrication of (large-area) displays. Therefore a poly-
meric material is printed on top of an ITO-coated (serving as electrode) substrate, yielding holes of 50-
100 micron diameter with polymer walls measuring a few microns in height. Subsequently microme-
ter-scaled piezo-driven nozzles are used to eject droplets of the desired solvated polymer, which is
capable to emit light of the desired color, into the polymeric well, whose dimensions determine the
structure of an R,G or B sub-pixel. For an RGB color display this process is typically repeated, alter-
nating different polymers which produce the desired R,G,B color emission.
Precise control of the polymer chemistry is essential to ink-jet printing which was demonstrated to be
a promising technique for mass-production of flat-panel displays and other organo-electronic devices
[Litrex, 2005].
In the future it is aimed to even print organic ICs which would lower the production costs signifi-
cantly.
OVJP, organic vapor jet printing, is an analogous technique to ink-jet printing, currently under devel-
opment. It is aimed to be used for the fabrication of polymeric LEDs, which can be applied to direct
and deposit small molecular weight particles at the desired locations. Similar to Organic Vapor Phase
Deposition (OVPD), which is conventionally used to produce small molecule OLEDs, in OVJP a hot
inert carrier gas is used acting as a solvent which vaporizes the organic source material. In contrast to
OVPD, the volatile organic molecules then pass
through a nozzle (see Fig.2.3. 3), whose tip is
placed 10-100 microns away from the cold sub-
strate surface, where they are deposited at the
desired location.
In contrast to OVJP, the conventionally used
OVPD technique is a full-surface deposition
technique where the material to be deposited is
uniformely distributed across the surface and
patterning of the layers is necessary for display
pixilation. The latter can be achieved using a
Fig.2.3. 3 Schematic illustration of the OVJP process (source: shadow mask during deposition, placed in close
[Benziger]).
proximity (about 1 micron away) to the sub-
strate. The smallest features achieved when using shadow masks are 1-5 microns in size.

30/11/2005 Dissemination level : Public Page 85/273


IST-017255 MONA Deliverable D1.1 (M4)

In contrast to inkjet printing, an inert gas is used as a solvent, instead of a potentially reactive solvent,
which may avoid some problems connected with liquid solvents. Furthermore no prepatterned well
structures are needed acting as containers for liquid ink-materials.
The diameter of the deposited structures is limited by the gas jet dynamics and nozzle dimensions. It
has been reported that droplets with a diameter of ~500nm may be generated [Benziger].

3.2.3.3 Gravure Printing and Flexographic Printing


Different printing processes, such as gravure or flexographic printing are distinguished by the method
to transfer an image from the image carrier to the substrate and by the general type of image carrier
employed. Depending upon the process, the printed image is transferred to the substrate either directly
or indirectly. In direct printing the image is transferred directly from the image carrier to the substrate.
Examples of direct printing are gravure, flexography, screen printing processes. In indirect, or offset,
printing, the image is first transferred from the image carrier to the blanket cylinder and then to the
substrate. Lithography, currently the dominant printing technology, is an indirect (offset) process.
The image carrier, often a plate or roll, serves to pick up ink only in the areas where ink is to be ap-
plied to the final image on the substrate. Ink is rejected in those areas where it is not wanted.
Flexographic Printing:
In flexographic printing the image carrier is a relief plate (cylinder) with raised areas that pick up ink
from an ink source. Non-printing areas are recessed below the level of the inking rollers and therefore
are not coated with ink.
Setup:
The basic setup in flexographic printing
typically consists of a series of four rollers.
The first (fountain) roller transfers ink from
an ink pan to an anilox roll, the metering
roller, which transfers the ink onto the
structured surface of the plate cylinder
maintaining a uniform thickness. Thereafter
the (flexible) substrate passes between the
plate cylinder and the impression cylinder,
which is the fourth roller. In some cases, a
doctor blade for improved ink distribution
is used (see Fig.2.3. 4). Fig.2.3. 4 Schematic illustration of flexographic printing (source:
A doctor blade is a simple device used to [pneac]).

shear the ink from the surface of the plate


cylinder. Pressure is applied to the doctor blade to assure uniform contact along the length of the cyl-
inder. The blades must be angled to cut the surface of the ink, but pressure and angle must be carefully
adjusted to prevent premature wear on the cylinder. The doctor blade also oscillates back and forth to
prevent a flat surface being worn into the cylinder.
Flexographic plates are made of a flexible material, such as plastic, rubber or UV sensitive polymer
(photopolymer), so that it can be attached to a roller or cylinder for ink application. There are three
primary methods of making flexographic plates; photomechanical, photochemical and laser engraved
plates.
The rubber coated impression roll, made of a tubular sleeve coated with a rubber compound, brings the
substrate in contact with the engraved cylinder resulting in proper ink transfer. The impression roll

30/11/2005 Dissemination level : Public Page 86/273


IST-017255 MONA Deliverable D1.1 (M4)

also helps to move the substrate through the press. The web, which by now has been printed, is fed
into the overhead dryer so the ink is dry before it goes to the next print unit.
Gravure Printing:
Setup:
In contrast, gravure-printing uses depressed or sunken structures to define the image. Typically the
image areas consist of honey comb shaped cells or wells that are etched or engraved into a copper
cylinder. The unetched areas of the cylinder represent the unprinted areas. The cylinder rotates in an
ink-bath and the cells pick up small amounts of ink. As the cylinder rotates, the excess ink is wiped off
the cylinder using a flexible steel doctor blade. The ink remaining in the recessed cells is directly
transferred to the substrate (paper or other material) as it passes between the plate cylinder and the
impression cylinder. Most gravure presses use a cylindrical image carrier, although some sheet-fed
gravure presses and intaglio plate printing presses use a flat plate.
Variants:
Variants of these printing techniques may be developed which combine different individual processes
of different printing techniques.
As an example the company Joyo
Engineering developed a variant
printing technique which com-
bines gravure and flexographic
printing processes [crystec]. The
machines offered by Joyo that
may be integrated in in-line pro-
duction streets, are designed for
the production of polyimide
(sealing or alignment) layers used
for LCD displays. Using this
method, 40-100nm thin films and Fig.2.3. 5 Scheme of the setup of the printing technique employed by Joyo Engi-
neering Ltd.: (a) printing table, (b) LCD glass plate, (c) dispenser, (d) doctor blade, (e)
lateral patterns on the micron- anilox roll, (f) resin letterpress, (g) printing roll (source:[crystec]).
scale can be generated.
The basic setup is illustrated in Fig.2.3. 5. A dispenser applies polyimide material to the anilox roll.
The doctor blade serves to distribute a layer of the polyimide on the anilox roll removing excess ink
from the surface.
This roll carries gravures on its surface, which are filled with polyimide. The thickness of the polyim-
ide layer corresponds to 3.5 times the desired printing thickness [crystec] in order to compensate the
transfer loss. Subsequently the polyimide layer is transferred to the printing roll, which is coated with
a compressible polymer, called letterpress. From there the printing material is transferred to the glass
plate.

30/11/2005 Dissemination level : Public Page 87/273


IST-017255 MONA Deliverable D1.1 (M4)

3.2.3.4 Roll-to-Roll techniques


Roll to roll methods, also denoted as "web processing" techniques, are based on processes, where a
roll, or web, of flexible plastic or metal foil material (the "substrate"), runs through the process ma-
chine using rollers to maintain proper tension and position. As the substrate passes through different
process chambers, chemicals are deposited and patterns are created in a highly efficient in-line proc-
ess. Different components are built up on the substrate, and finally a fully-integrated device is pro-
duced.
Using roll-to-roll processing (opto-) electronic devices may be generated on a roll of flexible plastic or
metal foil. As schematically illustrated in Fig.2.3. 6, large circuits with thin-film transistors and other
devices are aimed to be patterned on to large substrates, which can be up to a few metres wide and 50
km long. The image illustrates a flexible foil with the prepatterned structures of a semiconductor
source/drain, which passes an ink-jet like dispenser, followed by an R2R lamination process and a

Fig.2.3. 6 Schematic illustration of the working principle of a roll-to-roll setup used for the fabrication of polymer-based transistors
(source [FhG-IZM]).

subsequent printing step. Some of the devices are to be patterned directly, using integrated setups
similar to inkjet printers. For most device-types, such as semiconductors, indirect patterning processes,
such as lithography have to be used.
Roll-to-roll processing is a technology which is still under development, but it is a promising approach
in order to significantly reduce production costs. As an example, the production of large-area flexible
displays and solar cells could become much more efficient and cost-effective.
[Benziger] www.princeton.edu/~benziger/OVPD.pdf

[crystec] http://www.crystec.com/joyprie.htm#flexo

[Jabbour, 2001] G.E. Jabbour et. al., Screen Printing for the Fabrication of Organic Light-Emitting Devices, IEEE J. on selected topics in
quantum electronics, vol. 7, No.5, 769 (2001.)

[Le, 1998] H.P. Le. Progress and Trends in Ink-jet Printing Technology, J. of Imaging Sci. and Tech., Vol. 42, No.1, Jan./Feb. (1998).

[Litrex, 2005] Albertalli, Gen 7 FPD Inkjet Equipment – Development Status, SID 2005; www.litrex.com/pdf/SID-2005-Gen7.pdf.

[pneac] http://www.pneac.org/printprocesses/ .

30/11/2005 Dissemination level : Public Page 88/273


IST-017255 MONA Deliverable D1.1 (M4)

3.3 Summary Table on Nanostructuring Technologies

Nanostructuring Figure of Through- Devices to be Remarks Develop- Release


Technology Merit put structured Issues ment
Status
DUV- optical 110 nm >135 wpH IC NA= 0.55-0.8; dual production
lithography + (300 mm stage; 50 mJ/cm2 tool
RET OPC, OAI wafer)
(ASML)
“ < 70 nm > 114 wpH IC NA= 0.6-0.85; dual production
(300 mm ) stage; 30 mJ/cm2 tool
water-Immersion < 50 nm > 122 wpH IC Na=1.2; dual stage production shipment
193 nm (ASML) (300 mm) catadioptic lens tool Q1 2006
system
water-Immersion < 55 nm > 130 wpH IC NA = 1.07; tandem production (sales
193 nm (Nikon) (300 mm) stage tool launch) Q4
2005
high index fluid < 45 nm IC NA = 1.3 production envisaged
immersion tool u.d. Beginning
(Canon) of 2007
HL/IL photonic crys- restricted to quasi- under devel-
holographic / tals periodic patterns opment
interferometric
lithography
ZPAL 140 nm 5 wpH NEMS, mi- grating light valves proof-of-
Zone plate array (at λ = 400) (300 mm) crophotonics, control concept
lithography could be nanomagnetics
achieved
EUVL < 45 nm IC prototype tools: 50- alpha-tool 2006
60 tons full-field 2009 (?)
tool
EBL direct write <20 nm of the Masks resolution < 5nm fully devel-
<10 nm (for order of Stamps for possible, limiting oped tools
PMMA 0.1 wpH or Nanoimprint factor is lift-off and
multilayer below (?) etching
resists)
EBL/Mapper 45 nm half <1 wpH IC 13 000 e-beams demonstrator mid 2005
e-beam projection pitch (100 mm) 2-3 layer resist proto type end 2006
techniques are re- under devel-
quired opment

envisaged: production 2007


10 wpH level
(300 mm)
NANOLITH proof of concept of
the individual com-
ponents of a CNT
based micro elec-
tron gun array
SCALPEL <50 nm, 25 wpH sophisticated setup alpha-tool so far no
(300 mm) (1999) beta-tool
(envisaged
1999)
PREVAIL sophisticated setup Prototype so far no
(2001) beta-too
alpha-tool SCALPEL
+ continu-

30/11/2005 Dissemination level : Public Page 89/273


IST-017255 MONA Deliverable D1.1 (M4)

ous stage
motions
Nikon EPL /NSR- < 65 nm 1 wpH sophisticated setup alpha-tool so far no
EB1A node en- (spec 7 R&D tool?? beta-tool
vis.:32 nm wpH)
20 wpH;
300mm;
(45 nm)
Canon < 100 nm envis.: 8 64*64 beams (?)
e-beam projection wpH (8 single resist layer
inch - 200 possible
mm)
(2001)
XRL ~ < 50 nm -IC - synchrotron research
(link) -high printing source required tools
moulds - 1:1 proximity
projection
-> high quality
masks are required
IBL/PROFIB mask-
fabrication
MIBL/MIBS Prototype

Atom lithography 20 nm -light mask pro- proof of


duced by coherent concept
laser beams;
- atom beam is
patterned due to
angular momentum
acting on the atoms
Soft lithography (?) (300 step and repeat prototype envisaged
mm) pressure < 1bar, (laboratory 2006
flexible multilayer tool)
soft stamp

SOUVENIR

Hot embossing
150 diame- full field exposure
ter 6” up to 250° up to 6-
80 bar
S-FIL < 50 nm 5 wpH thin film heads compatible with 2nd genera- available
(200 mm) and molecular manufacturing in Si tion tool
electronics
25 wpH for device envisaged
(300 mm) prototyping 2007
and pre-
production
Nanoplotter/dip- 60 nm (?) system with 1.2 proof of
pen million pens concept
Passive probe demonstrator
array

< 100 nm 1 (4 inch)

RIE ---- 0.1-0.25 production


dry etch tools µm/min tools

30/11/2005 Dissemination level : Public Page 90/273


IST-017255 MONA Deliverable D1.1 (M4)

MBE ~0.01 7*6” quantum dots, -throughput mature tech-


µm/min 14*6” LEDs (Sharp), -UHV nique fully
thickness (Dual semiconductor developed
cntrl: 0.5 nm Gen2000) lasers blue
lasers; opto-
electronic
devices;
HEMTs,
FETs, HBTs
CVD ~0.1 µm/min solar cells -pressures: 10-1000 production
thickness mbar tools
cntrl: 25 nm - temperatures
200°- 2000° [Hae-
fer, 1987]
PECVD ~0.5 µm/min displays, solar -pressures between production
cells 0.1 - 1 mbar tools
-enables lower
growth tempera-
tures than CVD
MOCVD ~0.1 µm/min 95 2” compound production
thickness (planetary) semiconduc- tool
cntrl: 2.5 nm tors
(TFT-LCD)-
Display, LED,
Solar-Cells;
Cathodic Arc 600 wpH CNTs -plasma-based
Deposition (3.5”) data storage technology
well defined - high current (100
ultrathin A) discharges are
spacer layers generated (at low
packaging voltages ~20 V)
between cold elec-
trodes
ALD sub-100 nm 10-30 gate stacks, -self-limiting
films wafers DRAM ca- growth process
GP:10-200 pacitors, -uniformity over
mbar; FRAM, stor- large areas
GT: 150- age media -alternating expo-
550°C sure to one of two
alternating chemi-
cal environments
Sputtering 7-50 >20 wa- - pressures: < 0.01 production
nm/Min fers(4”) mbar tools
0.1-1.5 -substrate tempera-
nm/Min tures of over 400 at
(reactive) 25 mm distance
two-photon po- 200 nm for photonic crys- Ti:spphire laser
lymerization 800 nm tal; production used: 90 fs, 84
Ti:sapphire of localized MHz, 200 mW
fs laser defects for
waveguiding
structures.

30/11/2005 Dissemination level : Public Page 91/273


IST-017255 MONA Deliverable D1.1 (M4)

4 Photonics

4.1 New Approaches in Nanophotonics


4.1.1 Near-Field Optics

Applications of conventional far-field optics are generally diffraction limited by the standard resolu-
tion limit set by the wavelength of visible light. Near-field optics probes optical interactions of a sam-
ple that is less distant to a light source, a detection aperture or a scattering metallic tip or a nanoparti-
cle than a wavelength of the appropriate light. Hence, near-field optics addresses problems of
resolution by spatially confining the effect of a light
source to nanometric domains. The light in the near-
field contains a considerable amount of non-
propagating, evanescent field which decays expo-
nentially within a distance comparable to a wave-
length. Near-field optical set-ups exist in various
types which may be classified into aperture near-
field optics and apertureless near-field optics.
Aperture near-field optics:
In this case light passes through a sub-wavelength Fig. 1.1. 29: The near-field optical principle. The red
aperture (Fig. 1.1. 29) and the illumination of target dots are not resolvable in far-field. Near-field optics with
a sub-wavelength aperture allows enhances the resolu-
particles takes place in a close distance (typically tion. The sample can be scanned relative to the aperture
to establish NSOM. Near-field optics is a method based
<100 nm) after the aperture. However, it is more on spatial confinement of light and background reduc-
common to use a tapered fiber with a sub-wave- tion. source: [Lewis, 2003]

length tip diameter to realize another type of an ap-


erture (Fig. 1.1. 30). The optical tips consist of a tapered fiber that is coated by a metal, typically
aluminum, to confine the light and preventing it from leaking out of the tip. The light emanates
through the tip opening which is in the range of ~50-~100 nm. The distance to the sample is in the
same range, thus the sample senses the near-field distribution of the light. Hence, the light is confined
to a dimension smaller than its wavelength, and thus near-field optics overcomes the diffraction limit,
which restricts any far-field focussing.

30/11/2005 Dissemination level : Public Page 92/273


IST-017255 MONA Deliverable D1.1 (M4)

Fig. 1.1. 30: left: Scheme of a pulled optical fiber with an aluminium coating (the most typical probe for SNOM
systems). source: [Giorgis, 2005]. right: Focused ion beam image of a near-field optical probe, showing a 70 nm circular
aperture defined by the fibre core and surrounding aluminium. source: [Hulst, 2005]

The technique of aperture near-field optics may be realized in different variations (see Fig. 1.1. 31).
• sample illumination in the near field; signal collection in the far field (illumination
mode;Fig. 1.1. 31, a)
• sample illumination in the far field; signal collection in the near field (collection mode;
Fig. 1.1. 31, b)
• sample illumination and signal collection in the near field via the same fiber tip (simultane-
ous illumination and collection mode; Fig. 1.1. 31,c)
• sample illumination via total internal reflection in the far-field; signal collection in the near
field (Photon Scanning Tunneling Microscope (PSTM) mode; Fig. 1.1. 31, d)

When driven in the collection mode, the sample may even be illuminated in reflection in case that the
substrate is opaque.
In the PSTM mode, evanescent waves
are created at the sample surface by
oblique far-field illumination. The eva-
nescent wave is described due to its
photon tunnelling probability. The emit- Fig. 1.1. 31: Scheme of the different modes of aperture near-field
ted light is collected by the near-field optics. source: [Giorgis, 2005].
probe.
In any case the most important component is the sub-wavelength aperture, which is typically realized
by the fiber tip. The spatial resolution is determined by the size of the aperture and the distance from
the sample.
As described above near-field optics may overcome the restrictions of the far-field diffraction limit.
However, there are some restrictions related to aperture near-field optics. The small fiber apertures, as
well as the light penetration into the metal coating, limit the light throughput. Light absorption in the
coating can create considerable disturbance by heating of the probe. In case of non-linear optical ap-
plications that require the use of short laser pulses instead of cw-illumination, the fiber tip may be

30/11/2005 Dissemination level : Public Page 93/273


IST-017255 MONA Deliverable D1.1 (M4)

damaged due to high peak power. Furthermore, short pulses will be significantly broadened while
passing the fiber. Apertureless approaches offer possibilities to overcome these drawbacks.
Apertureless near-field optics:
Apertureless near-field optics uses a sharp metallic
sub-wavelength tip instead of an optical tip as de-
scribed above. It does neither act as excitation nor as
detection light waveguide. Instead it rather acts as a
nanoscopic light scatterer when placed in near-field
distance with respect to the sample. Oblique incident
outside light is scattered at the tip and the resulting
strongly enhanced local plasmonic field (see below) is
used for excitation (Fig. 1.1. 32). The resulting opti-
cal response signal is collected via a lens or an objec- Fig. 1.1. 32: Apertureless near-field optics. source:
tive with the collecting focus being adjusted to the [Grosges, 2004]

fiber tip. Alternative set-ups use the collecting objec-


tive even for excitation [Novotny, 1998]. The principle is based on realizing a polarization component
of the incident light along the tip axis. In this case surface plasmons are excited within the tip produc-
ing large local field enhancements. The highly confined fields close to the tip interact with neighbour-
ing nanostructures. The field enhancement at the tip is ~3 orders of magnitude above that of the inci-
dent light [Novotny, 1998].
Fig. 1.1. 33 illustrates some additional modes of aperture-
less near-field arrangements. The plasmon near-field mode,
may even be generated by illumination from below (a) if the
sample is sufficiently thin (apertureless PSTM). Similarly to
the apertureless PSTM, light can be scattered from the eva-
nescent field by other probe tips, such as a force microscope
tip on a standard AFM cantilever (b). Fig. 1.1. 33: Scheme of the different
modes of apertureless near-field optics.
source: [Giorgis, 2005].
By means of apertureless near-field optics for example spa-
tial resolutions down to ~25 nm were reached in the imaging of carbon nanotubes [Hartschuh, 2003].
Furthermore apertureless near-field optics based on pulsed laser excitation was recently used for sec-
ond harmonic generation (SHG) [Bouhelier, 2003].
Near-field scanning optical microscopy (NSOM or SNOM):
Near-field scanning optical microscopy (NSOM or SNOM) is real-
ized by point-wise scanning the probe tip relative to the sample to be
measured. By this scanning method near-field-imaging and near field
microscopy were realized, which are no longer restricted to the dif-
fraction limit. The considerably improved spatial resolution of
NSOM thus opened up new and enhanced ways to investigate physi-
cal and biological systems such as the high resolution imaging of
nanostructures, viruses and bacteria.
During image acquisition, the point light source must be scanned
over the surface, without touching it, and the optical signal from the Fig. 1.1. 34 Scheme of the
shear force feedback set-up.
surface must be collected and detected. To realize a constant probe- source: [Lewis, 2003].
sample-distance a feedback mechanism that is unrelated to the
NSOM/SNOM signal is utilized. The most common feedback method is the shear force feedback, or

30/11/2005 Dissemination level : Public Page 94/273


IST-017255 MONA Deliverable D1.1 (M4)

tuning fork feedback. The straight tip is mounted to a tuning fork, which is then oscillated at its reso-
nance frequency (Fig. 1.1. 34). The amplitude of this oscillation is strongly dependent on the tip-
surface distance, and it can be effectively used as a feedback signal.
The shear force feedback can simultaneously be used to obtain a topographic image of the sample by
atomic force microscopy (AFM). It thus may provide a monitoring reference for NSOM and PSTM
images.
Alternative feedback mechanisms such as normal force feedback are more related to AFM and shall
not be described in detail.
Fig. 1.1. 35 shows both a topographic (left) and a SNOM image (right) simultaneously acquired from
a structure of polymethyl methacrylate (PMMA). The structure was created by electron beam lithogra-
phy. The total side line of the images is ~6 µm.

Fig. 1.1. 35 left: topographic image of a sub-micrometric triangular pattern of holes drilled on polymethyl
methacrylate (PMMA) by electron beam lithography and wet etching. right: SNOM image obtained with the
optical probe in reflection configuration source [Giorgis, 2005].

SNOM based detection of plasmonic energy transport:


Achieving control of light-material interactions for photonic device applications at nanoscale dimen-
sions requires structures that guide electromag-
netic energy with a lateral mode confinement
below the diffraction limit of light. This cannot
be achieved by using conventional waveguides
or photonic crystals. However, electromagnetic
energy can be guided below the diffraction limit
along chains of closely spaced metal nanoparti-
cles that convert the optical mode into non-
radiating surface plasmons. A variety of meth-
ods such as electron beam lithography and self- Fig. 1.1. 36: Plasmonic energy transport. source
[Maier, 2003].
assembly have been used to construct metal
nanoparticle plasmon waveguides. However, the
investigations of the optical properties of these waveguides have so far been confined to collective
excitations [Krenn, 1999], [Maier, 2002], [Maier, 2002_a], and direct experimental evidence for en-

30/11/2005 Dissemination level : Public Page 95/273


IST-017255 MONA Deliverable D1.1 (M4)

ergy transport along plasmon waveguides was not given. In 2003 Maier et al. ([Maier, 2003]) observed
electromagnetic energy transport from a localized sub-wavelength source to a localized detector over
distances of about 0.5 μm in plasmon waveguides consisting of closely spaced silver rods. The
waveguides were excited by the optical tip of a near-field microscope. Fig. 1.1. 36 shows a scheme of
the experiment. Light emanating from the tip of an illumination-mode SNOM locally excites a plas-
mon waveguide. The waveguide transports the electromagnetic energy to a fluorescent nanosphere,
and the fluorescence intensity for varying tip positions is collected in the far-field.
SNOM based visualization of waveguide structures:
Other applications have used SNOM for investigating the characteristics of polymer fiber and
waveguide structures [Ming, 2004]. The near-field
optical technique was used to analyze multimode
interference structures of fibers. The localized fluc-
tuation of the transmission in Nd3+- and Eu3+-doped
polymer fibers and films was visualized by means
of SNOM. In the same way the topography and
near-field intensity images of Azo-polymer liquid
crystal film for waveguide are obtained simultane-
ously.
In another interesting approach an AlGaN/GaN
quantum-well waveguide was investigated with
SNOM [Shakya, 2004]. In detail ultraviolet near-
field scanning microscopy and near-field spectros-
copy have been employed to study the optical prop-
erties of AlGaN/GaN quantum-well waveguides.
The divergence of the spontaneous emission emerg-
ing from the waveguide exit port was measured. Fig. 1.1. 37 a: Schematic diagram of AlGaN/GaN MQW
The near-field optical image revealed a half-angle waveguide. b: AFM image of AlGaN/GaN MQW waveguides
with a schematic experimental configuration for the optical loss
in-plane divergence of 6° and vertical divergence of measurement. c: Schematic diagram for the near-field measure-
ment of the divergence of the spontaneous emission emerging
40°. Optical loss of the spontaneous emission inside from the waveguide exit port. source [Shakya, 2004].
the waveguide at λ = 350 nm was detected.
Fig. 1.1. 37 shows schemes of the waveguide and the SNOM measurement.
SNOM in nanolithography:
Away from imaging and visualiza-
tion applications SNOM may even
used for direct writing optical nano-
lithography [Likodimos, 2003].
Topography and physical properties
of photosensitive surfaces such as
photoresists may be changed by
laser pulses emitted from the
SNOM probe, offering resolutions
beyond the diffraction limit un-
achievable by conventional optical
and laser systems. The first results Fig. 1.1. 38 Apertureless SNOM experimental setup. a: the recording process by
obtained in several labs deal with means of a metallic tip; b:) description of the readout process. source [Gros-
ges, 2004]
nanometric strips on standard posi-

30/11/2005 Dissemination level : Public Page 96/273


IST-017255 MONA Deliverable D1.1 (M4)

tive photoresist and on self-assembled monolayers of alkanethiols by using laser sources in the visible
up to the UV range.
SNOM based optical data storage and recovery:
So far SNOM or near-field optics in general has been mainly described as a technology for micro-
scopic imaging. However, SNOM has not only the potential to read out surfaces but rather enables to
modify surfaces on the nanoscale and at the limit down to molecular resolution. Such surface modifi-
cation may comprise the writing and reading of data. Thus, SNOM is even of considerable potential
interest for optical mass storage.
A promising application of SNOM concerning high density optical data storage was recently pub-
lished by Grosges et al. [Grosges, 2004]. The electromagnetic field enhancement at the end of the
probe of an apertureless SNOM (ASNOM) was used to write nanometric dots in a phase-change me-
dium. The field enhancement acts as a heat source that allows the transition from amorphous to crys-
talline phase in a Ge2Sb2Te5 layer. The size of the dots was predicted as a function of both the illumi-
nation duration and the incoming power density. Fig. 1.1. 38 illustrates the used apertureless SNOM
as well as the processes of data recording and reading.
The use of phase change alloys for optical data storage is well acquired and remains promising for
higher density data storage. Rewritable CD or DVD are commonly used and “blu-ray discs” are devel-
oped to increase the density. An alternative and promising approach consists of using the electric field
enhancement at the end of an apertureless SNOM´s metallic scatter-tip. The field enhancement is lo-
calized within an area of a few nanometers around the probe end and it has a tremendously increased
intensity compared to the illuminating laser beam [Betzig, 1992]. The field enhancement has been
recently used to induce nanometer-sized domains in photosensitive samples [Dhili, 2001], [Royer,
2004], [Bachelot, 2003]. The field enhancement at the end of the probe of an apertureless SNOM may
be considered as a nanometric energy source and thus can be used to induce an amorphous to crystal-
line transition in a phase change material.
This type of new SNOM-based optical data storage technique seems to be promising. However, it was
shown that the illumination duration and the power density remain critical parameters. The illumina-
tion duration should be lower than 1 μs to generate small phase-change dots. Furthermore even the
total speed of the processes of recording and readout remains to be improved considerably.
In [IMST, 2005] another near-field optical data recording was described and compared to current
state-of-the-art and front-end far-field
optical storage systems like CD, DVD
and Blu-ray-Disc (BD) (Fig. 1.1. 39).
The resolution limit of a far-field system
is given by λ/NA (NA: numerical aper-
ture of the optics), i. e. restricted to the
order of magnitude of the wavelength
used. Additional effort in wavelength
decrease is likely to be expensive but will
further improve the resolution limit.
However, as stated in [Optics, 2005],
there seems to be some evidence, that a
Fig. 1.1. 39: Near-field optical storage compared to far-field techniques.
near-field approach for optical disc re- source [IMST, 2005]
corders (from both Philips and Sony )
could be a feasible technology for future commercial products.

30/11/2005 Dissemination level : Public Page 97/273


IST-017255 MONA Deliverable D1.1 (M4)

Blu-ray uses a 405 nm laser diode and a lens with a numerical aperture (NA) of 0.85. Increasing the
NA of the lens above one opens the
door to higher capacities. Philips has
come up with two solutions, each based
on a 405 nm laser diode (Fig. 1.1. 40).
The first solution is an NA 1.9 system
that can store up to 150 GB on the first
(top) surface of a disc. The second one
uses an NA 1.45 lens, and information
is written through a polymer cover-
layer and stored in multiple layers
within the disc, each holding up to 75
GB. In both cases the lens must be held Fig. 1.1. 40: Philips´ two high NA approaces. source [Optics, 2005]
just 30 nm from the disc. [Op-
tics, 2005]
A general practical problem of optical near-field storage is that the distance of the front-end optical
element (lenses, tips, cantilevers etc) has to be kept in a constant distance of below ~40 nm to a rotat-
ing, non-ideal plastic disc. Research concerning the
design of appropriate sliders and actuator systems is
going on and appears promising. It is expected that
near-field optical storage systems can obtain about
0,25 Terabyte on a 12 cm optical disc. Besides most
of the components, circuits and software that have
been developed for blu-ray discs would be re-
usable for the near-field approach.
Near-field optics has been a research topic in the
IST project SLAM whose aim was the introduction
of robust super high-density optical recording sys- Fig. 1.1. 41: Schematic format for 2D optical storage
(for simplicity, a seven-row broad spiral is shown). Each
tems based on optical near-field related scanning hexagon corresponds to a bit cell (white for bit 0, gray for
bit 1). source [Coene, 2003]
methods with a dramatically increased read-
ing/recording gap between the source and informa-
tion layer. Fig. 1.1. 41 shows a proposed scheme of a 2D optical storage on a disc.

[Bachelot, 2003] R. Bachelot, F. H’Dhili, D. Barchiesi, G. Lerondel, R. Fikri, P. Royer, N. Landraud, J. Peretti, F. Chaput, G. Larnpel,

J.P. Boilot and K. Lahlil, “Apertureless near-field optical microscopy: A study of the local tip field enhancement
using photosensitive azobenzene-containing films,” J. Appl. Phys. 94, 2060-2072 (2003).

[Betzig, 1992] E. Betzig, J.K. Trautman, R.Wolfe, E.M. Gyorgy, P.L. Finn, M.H. Kryder , C.H. Chang, Near-field magnetooptics

and high density data storage, Appl. Phys. Lett. 61, 142-144, 1992.

[Bouhelier, 2003] Bouhelier A. et al., Near-Field Second Harmonic GenerationInduced by Local Field Enhancement, Phys. Rev. Lett 90,
13903-1-13903-4, 2003.

[Coene, 2003] Coene W. M. J., Nonlinear signal-processing model for scalar diffraction in optical recording, Appl. Opt., Vol. 42, No. 32, 10
November 2003.

[Dhili, 2001] F. H’Dhili, R. Bachelot, G. Lerondel, D. Barchiesi and P. Royer, “Near-field optics: Direct observation of the
field enhancement below an apertureless probe using a photosensitive polymer,” Appl. Phys. Lett. 79, 4019-4021

(2001).

[Giorgis, 2005] Giorgis F., Materials and Microsystems Laboratory, the Polytechnic of Turin and the National Institute for Physics of Matter
(INFM), http://www2.polito.it/ricerca/thin-film/

30/11/2005 Dissemination level : Public Page 98/273


IST-017255 MONA Deliverable D1.1 (M4)

[Grosges, 2004] Grosges T., Petit S., Barchiesi D., Hudlet S., Numerical modeling of the subwavelength phase-change recording using an
apertureless scanning near-field optical microscope, OSA, OPTICS EXPRESS 5987, Vol. 12, No. 24, 29 November 2004.

[Hartschuh, 2003] Hartschuh A. et al., High-Resolution Near-Field Raman Microscopy of Single-Walled Carbon Nanotubes, Phys. Rev. Lett
90, 95503-1-95503-4, 2003.

[Hulst, 2005] Hulst N. v. et al, Univ. of Twente, http://tnweb.tn.utwente.nl/ot/speerpunt.php?speerpuntid=3&submenu=16

[IMST, 2005] Innovative Mass Storage Technologies, IMST White Book, January 2005.

[Krenn, 1999] Krenn, J. R. et al. Squeezing the optical near-field zone by plasmon coupling of metallic nanoparticles. Phys. Rev. Lett. 82,
2590–2593 ,1999.
[Lewis, 2003] Lewis A. et al., Near-Field Optics: From Subwavelength Illumination to Nanometric Shadowing, Nature Biotechnol. 11, Nov.
2003.

[Likodimos, 2003] V. Likodimos, M. Labardi, L. Pardi, M. Allegrini, M. Giordano, Optical nanowriting on azobenzene side-chain polyme-
thacrylate thin films by near-field scanning optical microscopy ,Appl. Phys. Lett. Vol. 82, 19, 3313-3315, May 12, 2003

[Maier, 2002] Maier, S. A., Brongersma, M. L.,Kik, P. G. & Atwater,H. A.Observation of near-field coupling in metal nanoparticle chains
using far-field polarization spectroscopy.Phys. Rev. B 65, 193408 ,2002.

[Maier, 2002_a].Maier, S. A.,Kik, P. G. & Atwater,H. A.Observation of coupled plasmon–polariton modes in Au nanoparticle chain
waveguides of different lengths: Estimation of waveguide loss.Appl. Phys. Lett. 81, 1714–1716, 2002.

[Maier, 2003] Maier S. A., Kik P. G., Atwater H. A., Meltzer S., Harel E., Koel B. E., Requicha A. A. G., Local Detection of Electrromag-
netic Energy Transport below the Diffraction Limit in Metallic Nanoparticle Plasmon Waveguides, Nature Materials/Advanced Online
Publication, p. 1-4, 2 March 2003.
[Ming, 2004] Ming, H. et al. Near-field optical technique applied for investigation of the characteristics of polymer fiber and waveguide
structures; SCANNING Vol. 26, Suppl. I, I-26–I-32, 2004.

[Novotny, 1998] Novotny L. et al., Near-Field Optical Imaging Using Metal Tips Illuminated by Higher-Order hermite Gaussian Beams,
Ultramicroscopy 71, 21-29, 1998.

[Optics, 2005] http://optics.org/articles/ole/10/9/4/1, Sept. 2005.

[Royer, 2004] P. Royer, D. Barchiesi, G. Lerondel, R. Bachelot, Near-Field Optical Patterning and Structuring Based on Local-
Field Enhancement at the Extremity of a Metal Tip, Phil. Trans. R. Soc. Lond. A 362, 821-842, 2004.

[Shakya, 2004] Shakya J.,Lin J. Y., Jiang H. X., Near-field optical study of AlGaN/GaN quantum-well waveguide, Appl. Phys. Lett. Vol. 84,
Number 11, , 2004.

30/11/2005 Dissemination level : Public Page 99/273


IST-017255 MONA Deliverable D1.1 (M4)

4.1.2 Quantum-confined materials

An electronic transition within a semiconductor crystal due to electric excitation or the absorption of
light is correlated to a charge separation. Compared to the ground state the electron in the excited state
is predominantly located at a different site within the semiconductor ´s geometry. In the same sense a
positively charged hole occurs at the electron’s original location center. The resulting electron-hole-
pair (exciton) is characterized by a preferred distance which is called the material’s Bohr radius in
analogy of this positive-negative-charge system to a hydrogen atom. If the total size of the semicon-
ductor is reduced to a dimension below this “exciton Bohr radius” (quantum confinement), the forced
deviation from the preferred distance will be compensated by both a split of the continuous bands into
closely neighbouring but discrete energy levels and a higher energetic separation of the semiconduc-
tor’s valence and conduction band, i. e. the ground and excited state. The total width of the band gap
thus results as the sum of the bulk semiconductor’s band gap and the size of the quantisation. Hence,
compared to a “macroscale” semiconductor a higher energy is needed for electronic excitation and the
emission also occurs at a shorter wavelength.
The shape of possible quantum confined structures is nearly unrestricted as long as the confinement
criterion is fulfilled. There are rod-like, ellipsoidal, cubic, rectangular and spherical structures reported
as well as tetrapodes, toroids and bandstuctures etc. [Lao, 2003] [Prasad, 2004]. However, according
to the dimensionality of the quantum confinement there are three base structures of confined materials
to focus on. They are known as quantum dots, quantum wires and quantum wells. Quantum wells are a
semiconductor structure being confined in one spatial direction and non-confined in the other two
directions. Structures being confined in two spatial directions and non-confined in the third are known
as quantum wires, whereas quantum dots are confined in all three spatial directions. The common
theme for all three is the fact that they have to be enclosed by a separate material with a considerably
larger band gap, to establish a potential barrier at the surface of the confined material. In most cases
other semiconductor material with a wider band gap is used for shielding. Caused by the potential
barrier electrons and holes are forced to occupy discrete states of energy instead of staying arbitrarily
within an energy continuum. Hence, a quantisation of the system occurs by shrinking the size of the
material.
However, the detailed appearance of the quantization is different for quantum dots, quantum wires and
quantum wells as there is an individual manner of overlap of continuous and quantized states for each
of them.

Materials:
Quantum confined materials mostly consist of semiconductors composed of elements of the periodic
table groups II and VI such as CdS, CdSe, CdTe, ZnS, ZnSe, ZnTe or HgS. Other materials belong to
group III and V elements as InP, InAs, GaAs or GaN and group IV and VI elements like PbSe. [Ja-
Chin, 2001], [Bertram, 2002], [Prasad,2004] But even nanoparticles of pure elementary semiconduc-
tors like Si have been created from colloid chemical synthesis [Baldwin, 2002].
Optical properties:
The optical properties of quantum confined material may be shortly summed up by the following bul-
let points/overview:

30/11/2005 Dissemination level : Public Page 100/273


IST-017255 MONA Deliverable D1.1 (M4)

• optical transitions
• absorption
o interband
transitions between the valence and conduction bands, both being
modified by the quantum confinement
o intraband (inter-sub-band)
transitions between the quantized sub-bands or states within the
conduction band (transitions of electrons) and the valence
band (transitions of holes);
• fluorescence/luminescence
o photoluminescence/fluorescence
optically excited emission
o electroluminescence
emission generated by recombination of electrically in-
jected charges (electrons/holes)

Common for all quantum confined geometries is the dependence of the optical properties on the size
of the structures along the confined direction. A stronger confinement, i. e. a smaller size, results in a
stronger quantization, an increasing band gap and larger sub-band distances; thus the transitions are
getting blue-shifted towards higher energies.
Due to modified quantum mechanical restrictions compared to bulk semiconductor, intraband transi-
tions (transitions of electrons within the conduction band and holes within the valence band) are get-
ting much more likely once the material gets quantum confined. This can be used for new optical ap-
plications and even has impact on the non-linear behaviour of the material.
Non-linear optical properties:
There are two non-linear optical effects gaining a significant meaning in quantum confined structures:
The “electro-optic effect”, which changes the energy states by applying an external electric field, and
the “optically induced change of the refractive index”. Both effects are resulting from changes of the
optical absorption, and hence the refractive index, n, due to applied optical or electric fields.
These induced and tunable refractive index changes can be used in signal processing for optical gating
and switching. The response time of the non-linearities, i. e. the time of the refractive index change to
relax back to the original value, is given by the decay time of the excited-state population. As far as
the underlying transitions are managed to be designed as intraband transitions the relaxation time is
very short. The latter is essential for developing ultrafast switching devices.

4.1.2.1 Quantum dots


In general any volume within a semiconductor crystal which confines electrons, holes and excitons
(i. e. electron-hole pairs) in all three spatial dimensions to a diameter of several nanometers to several
hundred nanometers is called a quantum dot. The confinement of elementary electric charges to such a
small region leads to a quantization of the system’s energetic states comparable to the conditions
within an isolated atom. The energy levels and hence the spread of the band gap depend on both the

30/11/2005 Dissemination level : Public Page 101/273


IST-017255 MONA Deliverable D1.1 (M4)

semiconductor material and the size and shape of the confinement region. A decreasing quantum dot
size results in an increasing band gap, and thus in decreasing emission wavelengths; and decreased
absorption wavelength in cases of optical excitation.

As the band gaps of traditional semiconductors cannot be easily modified, optical and electronic quali-
ties are costly to adjust once the semiconductor material is selected. Quantum dots on the other hand
allow for a dosed tuning of a semiconductor material’s band gap and thus of the optical properties by
adjusting shape and size during the fabrication process. Hence, a wide range of emission frequencies
may be provided for every single semiconductor material.

The following section will be focused on quantum dot nanocrystals. Heteroepitaxially grown quantum
dots will be described in more detail in connection with quantum dot lasers. (see chapter 4.2.1)
Structure:
Depending on the application quantum dot nanocrystals typically consist of a core, a shell and a coat-
ing. Whereas the core contains the key material, defining the principal optical properties of the parti-
cles, a covering shell is needed to protect the core from dissolving and from polluting outside influ-
ences. An appropriate selection of the shell material furthermore prevents optically excited cores from
relaxing radiationless. An additional coating of the outer shell surface allows to functionalize the parti-
cles and to make them accessible for further chemical and biological use.
Cores:
The first step in synthesizing nanocrystals is the preparation of the core, which is composed of the
semiconductor material selected. The material used for the core is chosen based upon the emission
wavelength of interest. Examples are CdS for UV-blue, CdSe for most of the visible spectrum, CdTe
for the far red and near-infrared. The cores can be synthesized as nanometre spheres, rods, pyramids,
or other shapes. The particles´ morphology is an important determining factor in the properties of the
materials. Typically, spherical particles or slightly ellipsoidal (rod-like) materials are used.
Whereas the choice of the material (e.g., CdSe versus CdTe) determines the coarse wavelength region
of the emission, the individual emission wavelength of the quantum dot within the characteristic spec-
tral range of the substance is adjusted by the size of the core. For example quantum dots based on
spherical CdSe cores of above 6 nm diameter emit at ~655 nm, whereas smaller but otherwise equal
cores of below 3 nm will emit at ~525 nm.
Shells:
Uncovered cores reveal a series of
drawbacks. Typically their emission
is quite weak and often unreliable.
Crystalline imperfections and de-
fects exist on the surface of cores. In
contrast to atoms in the centre of the
core, surface atoms have an “inho-
mogeneous” neighbourhood as they
face different material in the interior
and towards the solvent. As a con-
sequence the core’s surface re- Fig. 1.2. 1: Core and core-shell of a CdSe quantum dot. The shell consists of ZnS.
organizes to accommodate. This source: [Evi, 2005]
results in surface inhomogeneities
often referred to as “traps”, as they capture excited state energy after excitation, and provide non-

30/11/2005 Dissemination level : Public Page 102/273


IST-017255 MONA Deliverable D1.1 (M4)

radiative relaxation of the crystalline core. Furthermore re-organized surfaces are often highly reactive
and thus get easily polluted by solvent molecules, air molecules or other impurities. Such impurifica-
tion typically opens up additional pathways of radiationless relaxation. Besides, uncovered cores tend
to spontaneously dissolve leading to irreversible decomposition of the nanocrystals.
To face this problem, the production of the particles is modified. The outward growth process is not
terminated after the desired core diameter has been gained, but is rather smoothly transitioned into the
growth of a shell. The shell is composed of a structurally related material which is non-radiative and
transparent and can be efficiently affiliated to the core material. By that way the atoms of the core’s
surface sense a virtually infinite
array of similar atoms even in the
outward direction. The minimized
need to re-organization keeps the
core particle’s potential of a high
emissivity. Conditionally the band
gap of the shell material has to ex-
ceed that of the core to keep the
excitation energy within the core.
The shell furthermore keeps chemi-
cal and physical influences at the
new outer surface distant from the Fig. 1.2. 2 left: Transmission electron microscopy (TEM) image of a mono-
crystalline CdSe-nanocrystal. (scale bar: 5 nm) right: TEM image of an enseble of
core material and from having nega- CdSe particles. In a monolayer they self-assemble a hexagonal dense packed struc-
ture. The dark regions are the crystallite cores. (scale bar: 50 nm) source: [Ber-
tive impacts to its radiation and tram, 2002]
solid state properties. Typically
chemically inactive shell materials are selected, which completely insulate the core. For this reason on
the other hand the shelling of quantum dots is a drawback for any electrical contacting and thus elec-
trical excitation.
Fig. 1.2. 1exemplarily illustrates the anatomy of a CdSe/ZnS quantum dot core shell. The ZnS-shell
entirely covers the CdSe-core. The larger band gap of ZnS compared to CdSe keeps excitons created
by optical excitation within the core and provides the quantum dot from non-radiatively losing its ex-
citation energy.
An electron microscope image of both a single CdSe nanocrystal and an ensemble of CdSe particles is
shown in Fig. 1.2. 2. The images give an impression on the achievable quality and homogeneity of
current synthesis methods.
Coating:
So far quantum dot as described above, so called “core-shells”, are almost non-reactive with respect to
their external environment. For further use particularly for biological applications it is inevitable to
functionalize them, i. e. to make them accessible for chemical binding reactions. Hence, an additional
coating is introduced in these cases. The coating may have different appearance depending on the in-
dividual application. A possible coating e.g. consists of organic ligands that are covalently attached to
the surface of the shell and enhanced by hydrophobic/hydrophilic polymers. Thereby the hydrophobic
part of the polymer binds to the organic ligands while the hydrophilic part interacts with the external
solvent providing solubility in buffers. Configurating these polymers with carboxylic acid derivates
creates binding sites for biological and non-biological conjugates. By that e.g. antibodies, streptavidin,
lectins, nucleic acids, and related molecules of biological interest may be attached to the surface.

30/11/2005 Dissemination level : Public Page 103/273


IST-017255 MONA Deliverable D1.1 (M4)

Fabrication:
Quantum dots may be prepared by various methods, such as electron beam lithography, molecular
beam epitaxy, pulsed laser deposition, self assembly or colloidal synthesis. They are produced as en-
capsulated species that can be dispersed in liquid medium, self assembled in forms of superlattices,
grown on substrates in manifold geometrical shapes (cubes, spheres, pyramids, tetrapodes etc), em-
bedded in wider band gap semiconductors or incorporated in glasses or polymers.
Colloidal synthesis:
Colloid chemical synthesis enables the fabrication of typically quasi-spherical and slightly rod-like
particles covering the whole size range of only a few molecules up to bulky semiconductor material.
Predominantly the method is used to synthesize particles of 1 nm to 6 nm in size, a range which is
hardly achievable by other techniques.
The nanoparticles are produced by precipitation reactions. Mostly metallic and non-metallic com-
pounds are brought to reaction within aqueous or organic solvents resulting in a colloid solution. In the
colloidal phase the particles are endangered to form larger aggregates. (Fig. 1.2. 3). Controlled by
free diffusion two particles may come close enough to each other to induce a charge separation. The
latter leads to an electrostatic attraction and the formation of a dimeric aggregate. This dimeric aggre-
gate will form the core of a larger aggregate as the process continues. Thus, in a colloid chemical pro-
duction of quantum dots any ag-
gregation has to be prevented dur-
ing the process of fabrication.
A way to minimize this aggrega-
tion is to prepare the particles in
highly charged states. In this case,
even if local positive and negative
patches can form on the surface of
the particles, the overall charge on
the particle will still dominate and
prevent the particles from getting
too close.
Fig. 1.2. 3 Aggregation. left: Two particles are too distant to sense one another.
Another strategy to prevent aggre- The charges are uniformly distributed. center: The particles get closer by random
diffusion. Charges begin to separate, the particles begin to polarize and get par-
gate forming is to add large non- tially oriented. right: The temporary polarization has electrostatically drawn the
particles together and forms a dimeric aggregate. source: [QDC,2005]
interacting organic molecules
(ligands) in a controlled way dur-
ing the precipitation reaction. These cover the surface of the nanoparticles and slow down the reaction.
Based on the adjustment of concentration, temperature and pH the growth of the small crystallites may
be stopped at the size of choice.
Towards the end of the synthesis reaction the size distribution of the particles may additionally be
narrowed by size fractionation. Adding to the colloidal solution a liquid, which is not able to solve the
nanoparticles, leads to a successive precipitation of the particles according to decreasing size. The
separation from the rest of the particles may be realized by filtration and centrifugation.
The colloidal synthesis often allows quantum dots of a very narrow size distribution to be produced up
to the gram scale. The standard deviation of the average batch size meanwhile reaches values of ~5 %.
[Bertram, 2002]

30/11/2005 Dissemination level : Public Page 104/273


IST-017255 MONA Deliverable D1.1 (M4)

Optical properties:
In contrast to semiconductor bulk material the
bandwidth of the emission spectra (Full Width
at Half Maximum (FWHM)) of quantum dots is
quite narrow. This is due to the quantisation of
electronic states following the quantum con-
finement. The “0-0 transition”, i. e. the transi-
tion from the lowest vibrational level of the
excited electronic state to the lowest vibrational
level of the electronic ground state, is quantum
mechanically highly preferred and dominates
the whole emission. Having selected the quan-
tum dots´ core material, it is only the particles´
size defining their emission wavelength, thus
making quantum dots tunable over a large
wavelength range. Besides the natural spectral
line width of the quantum dots, the bandwidth
of their emission spectrum, is due to the tem-
perature, and the size distribution within a solu-
tion or matrix material. Spectral emission
broadening due to size distribution is known as
“inhomogeneous broadening” and is the main Fig. 1.2. 4 Absorption (up) and emission (down) spectra of four
differently sized CdSe/ZnS quantum dot samples. 488 nm (blue
contributor to the FWHM. For CdSe a 5% size line) represent a strong emission line of an argon ion laser, suitable
to commonly excite all four samples. source: [Michalet, 2005]
distribution corresponds to ~ 30nm FWHM
while in PbSe a 5% size distribution corre-
sponds to ~ 100nm FWHM. [Evi, 2005]
The absorption spectrum on the other hand is influenced by a quantum dot being composed of many
atoms with overlapping vibrational states, creating manifold possibilities of optical absorption transi-
tions. In contrast e. g. to organic dyes, quantum dots are hence excitable by any wavelength to the blue
side of the emission. The multitude of atoms within the core particle furthermore enhances the prob-
ability of optical absorptions, thus also increasing the extinction of the material.
The quantum yield is a decisive property
for the strength of the optical emission of a
material. It is defined as the fraction of
radiatively decaying (i. e. fluorescing or
light emitting) particles out of the totality
of excited ones. Sophisticated techniques of
enclosures of the core material minimizing
radiationless decays enable the accessibility
of high quantum yield rates and result in an
enhanced emission brightness of the parti- Fig. 1.2. 5: Fluorescence induced by exposure to ultraviolet light in
vials containing various sized cadmium selenide (CdSe) quantum dots.
cles. source: [Bertram, 2002]

Organic dyes intrinsically suffer from


photobleaching. Any presence of a dye molecule in the electronically excited state is correlated to a
certain probability for the dye molecule to dissolve from there. Hence, every absorption- emission
cycle bares the danger to irreversibly destroy the molecule. Quantum dots as conglomerates of semi-

30/11/2005 Dissemination level : Public Page 105/273


IST-017255 MONA Deliverable D1.1 (M4)

conductor material in contrast are more stable and are not influenced by photobleaching. As a conse-
quence their exposure time to any excitation field is drastically enhanced.
Fig. 1.2. 4 shows the absorption and emission behaviour of CdSe/ZnS quantum dots of various size.
In case of optical excitation a single wavelength is sufficient for exciting multiple types of quantum
dots.
An example of the spectral emission properties of variously sized quantum dots is illustrated in Fig.
1.2. 5. A single excitation source is sufficient to trigger fluorescence emission of samples of different
types of quantum dots.
Non Linear Optical Properties:
Doping various materials such as glasses, polymers and sol-gels with quantum dots considerably
modifies their optical properties. Since quantum dots are made of semiconductors, their electron popu-
lation can be altered via external stimulation. Quantum dots have the unique ability to be altered in a
nonlinear way with respect to the stimulation intensity. Specifically, they possess the ability to un-
dergo ultrafast (less than 1 ps) changes in both their absorption coefficient and refractive index, simply
by altering the power input (pumping intensity) to the dots. The saturation of light absorption and the
variability of the refractive index are the key non-linear properties of a material with respect to appli-
cations.
Absorption saturation is a non-linear effect that occurs upon increasing the intensity of light irradiation
onto a material. At a certain level change in absorbance and transmittance is observable. At low inten-
sities of light, the non-linear material's electrons are able to emit energy fast enough to absorb the next
photon, making the material a good absorber. At higher intensities larger fractions of electrons are not
yet relaxed from the previous excitation, hence are not yet available for a further absorption process
and let the light pass freely. Thus, the material starts to get transmissive instead of absorptive. The
“saturable absorbtivity” is strongly material dependent and can be used for cavity mode locking and
intensity related optical switching.
Traditional semiconductors are weak saturable absorbers due to their continuous energy bands, where
electrons in nearly unlimited amounts are available for light absorption. Due to the discretisation of
electronic states, quantum dots in contrast have much fewer electrons to absorb light quickly enough,
thus resulting in a much higher transmittance at even lower light intensities. As the saturable absorb-
ance effect with quantum dot material is thus more immediate and easier to access, they are giving rise
for new extremely fast optical switching devices capable of an improved information transfer.
Due to the discrete energy levels of quantum dots their refractive index is tunable. In traditional semi-
conductors, the electron energy levels are so close together as to be continuous, making the index of
refraction of the bulk material constant. In a quantum dot, there are few and discrete energy levels.
Hence, the movement of an electron from one band to another affects the refractive index of the quan-
tum dot. If a sufficient amount of quantum dots permeates an optical device, the device will have a
radiation intensity dependent refractive index, which is an important non-linear feature for creating
superior and switchable dielectric filters. The discrete electron energy levels furthermore allow also
for tunable optical emission frequencies, giving rise to more versatile optical cavity devices that
tune out unwanted frequencies more efficiently.
The non-linear features of quantum dots are a direct result of the quantum confinement.
Applications:
Quantum dots are applicable in various subjects (Fig. 1.2. 6). Depending on fabrication method,

30/11/2005 Dissemination level : Public Page 106/273


IST-017255 MONA Deliverable D1.1 (M4)

shape, particle design, size and material selection of core and shielding a wide range of applications
opens up.
Engineering of the quantum dots´ surface allows compatibility with matrix materials, organic solvents
and water. Incorporation into matrix materi-
als like polymers, epoxies, silicones, sol-gels,
oxides, silica and titania allow for a wide
usage in opto-electronics. Attachment to sec-
ondary particles, molecules and surfaces -
makes them applicable for common chemis-
tries. As small crystals, they can be mixed
into liquid solution, making them ideal for
fluorescent conjugate labelling in biological
applications and the whole life science envi-
ronment. As beads, quantum dots can be
mixed into ink, giving rise to anti-
counterfeiting pigments. Embedded into films
they develop non-linear optical properties
making them applicable for signal condition-
ing, optical amplification, optical switching
or laser mode-locking. Other applications e.g. Fig. 1.2. 6: Applications of quantum dots. source: [Evi, 2005]
comprise photovoltaic devices, electrolumi-
nescent displays, white lighting LEDs, telecommunications, sensors, optical data storage etc. An inter-
esting feature over a long term is even the potential of nanocrystals´ usage for quantum computing.
Biology and life science; usage as artificial fluorophores:
The usage of organic dyes as fluorescent tags in molecular and cell biology is common since a long
time. Methods of fluorescence
based spectroscopy and micros-
copy and in particular laser induced
fluorescence as applied e.g. for
biological imaging, assay devel-
opment and high throughput drug
screening have found their way
from pure scientific research into
industrial pharmaceutical produc-
tion processes. However, organic
dyes show a series of drawbacks
with respect to their photophysical
properties. These are mainly due to
a quite narrow spectral absorption
range and a limited photostability.
Complex biological systems typi-
cally require the usage of different
colors, i.e. different fluorophores,
to highlight specific physiological
Fig. 1.2. 7: Applications of quantum dots as multimodal contrast agents in
aspects. Due to their spectral ab- bioimaging. source: [Michalet, 2005]
sorption properties, different or-

30/11/2005 Dissemination level : Public Page 107/273


IST-017255 MONA Deliverable D1.1 (M4)

ganic dyes thus often require different excitation sources to be applied simultaneously, increasing the
cost of both equipment and work-flow. The limited photostability on the other hand even reduces the
observation time, making the detection of weak, low-contrast signals difficult.

The optical and photophysical properties of quantum dots in this respect bare a series of intrinsic ad-
vantages compared to organic dyes. Recent developments in fabrication have opened up ways to re-
place organic dyes and to making quantum dots an alternative for fluorescence labelling in a series of
biomedical applications. A variety of different coating technologies and designs enable to functiona-
lize the dots´ surface to attach biochemical conjugates and to use quantum dots as artificial fluorescent
tags. [QDC, 2003].

Fig. 1.2. 7 gives an overview on a series of biomedical applications of quantum dots. They cover the
whole range of both molecular and cell biological tasks and have a strong potential for even in vivo
applications.
For the latter quantum dots could be used as contrast reagent for functional imaging with a combina-
tion of magnetic resonance imaging (MRI), positron emission tomography (PET), computed tomogra-
phy, and IR fluorescence imaging. Other potential applications may be seen in in-vivo optical biopsy
and spatially and temporally selective therapy based on the deposition of optical energy into the quan-
tum dots for tumor ablation. Furthermore it might be possible to attach therapeutic enzymes to the
quantum dots and to optically activate them after having reached the area to medicate. [Micha-
let, 2005] However, as problems with concerning cytotoxicity actually remain, quantum dots are not
yet suited for human in vivo application. To resolve this issue, further detailed research is required.
Inks and pigments:
Special inks mixed up with dyes, or paints with characteristic arrangements of spectral properties, i. e.
absorption and emission peaks, are often used for “anti-counterfeiting” measures. Quantum dots open
up ways for versatile replacements of these substances. Besides their optical properties described
above they offer the possibility to get injected into many environments, including liquid mixtures,
fabrics, and polymer matrices [Evi, 2005].
Single electron transistors and quantum computing
Future applications in the environment of quantum computing are conceivable for quantum dots. Sin-
gle-electron transistors e. g. can be constructed from individual semiconductor nanocrystals. Quantum
dots exhibit discrete, quantized electronic energy levels. Energy differences between the individual
levels are dependent upon the particle’s size and can be shifted upon voltage application. A transistor
can be designed by matching two electrodes to a single nanocrystal and applying a voltage via a third
electrode acting as the gating. The constraints of discrete energy states within the nanocrystal, force
electrons to only propagate one by one through the particle, resulting in a fundamental “single-electron
transistor”.
Other perspectives may be seen in the use of nanocrystals as quantum computing components, where
multiple bits (qubits) of data can be stored simultaneously making use of the coherence between dif-
ferent electron states. Quantum computing devices promise to enhance computational speed by orders
of magnitude in certain applications. [LoCascio, 2002]
White LEDs:
Whereas incandescent light bulbs suffer from inefficiency and waste of power, traditional LEDs only
offer a fixed set of emission wavelengths. To produce white light or the impression of white light a
specific superposition of red, green and blue light is required. To get rid of the described inconvenien-

30/11/2005 Dissemination level : Public Page 108/273


IST-017255 MONA Deliverable D1.1 (M4)

cies it is common to coat an LED´s surface with different phosphors to convert the LED´s emission
color into more useful wavelengths. The conversion itself results from an absorption/re-emission proc-
ess of the phosphors that have to be selected upon their properties to get optically excited by absorbing
the LED´s light and to emit the wavelength of choice. However, there is a number of drawbacks re-
lated to white light production by LEDs and traditional phosphor coating. The main issues are i) the
non-tunable light emission, as each phosphor material comes with its narrow and well defined wave-
length range of absorption and emission, whereas a broader absorption would be desirable; ii) a rela-
tively costly fabrication process of coating or doping phosphors onto the surface of an LED; iii) the
difficulty of simultaneously mixing red, green and blue phosphors closely enough for the superposi-
tion effect. Hence, LED based white light currently is still of low quality and unsuitable for applica-
tion.

Quantum dots in contrast have the potential to overcome these limitations. Their key properties, the
wide absorption range and the size dependent, i. e. adjustable, emission color enable to use them as
new tunable phosphors. As they can be fabricated in a wide range of forms and media they are suitable
to get integrated into any medium necessary to accommodate the underlying LED. Homogeneously
intermixing quantum dots of different colloidal production batches, i. e. different size, finally results in
a phosphor material for LED coating that promises high quality white light production at low cost
compared to state-of-the-art semiconductor systems.

Another approach is based on homoepitaxially growing ZnSe quantum dots on a ZnSe substrate in-
stead of using colloidal nanoparticles. The emission simultaneously occurs in blue (by the quantum
dots) and in yellow (due to the substrate). Since yellow light stimulates the red and green receptors of
the eye, the resulting mix of blue and yellow light gives the appearance of white.

IT and telecommunication:
Progress in information and telecommunication technology is considerably based on optical devices
that allow electrical and optical pulses to transfer data, i. e. information. Standard optical devices are
based on traditional semiconductor technology. Improvements in these devices could result from im-
provements in the development of filters, gratings, cavities, and switching devices as well as from
amendments of optical properties of the materials (refractive index, crystal structure, optical mode
density). Further advances will definitely be gained by these improvements, however a “breakthrough”
will hardly be achieved as the known materials come along with their well known intrinsic restrictions.
The main limitations may be seen in a
lack of light emission tunability, in-
variable refractive indices of an indi-
vidual material and a limited speed of
optical switching.
These drawbacks may be overcome by
an improvement of the non-linear prop-
erties of the materials used. As de-
scribed above, quantum dots show non-
linearities meeting these needs. Incor-
porated into many existing devices they Fig. 1.2. 8: Density of states for electrons in a semiconductor conduction
band; for bulk material and various quantum confined geometries. source:
may dramatically increase their non- [Prasad, 2004]
linear character and thus their data

30/11/2005 Dissemination level : Public Page 109/273


IST-017255 MONA Deliverable D1.1 (M4)

transfer capabilities. Quantum dots are giving rise to versatile and extremely fast optical devices such
as optical switches, dielectric filters and optical cavities.

4.1.2.2 Quantum wells


A thin layer of a semiconductor sandwiched between two layers of a wider band gap semiconductor is
called quantum well, if it confines electrons and holes in one dimension to below the exciton Bohr
radius (typically a few nm). Due to the wider band gap, the outer coverage establishes a potential bar-
rier to elementary electric charges within the quantum well, leading to a quantization of the valence
and conduction band’s energetic states along the confined direction, while the other two spatial direc-
tions rest un-affected, i. e. continuous in energy states. The states that can be occupied by electrons
and holes hence result as a mixture of quantized and continuous states. In contrast to quantum dots,
where the quantisation enforces a split into a set of theoretically infinitely narrow states, a quantum
well causes the semiconductor’s bands to split into a set of evenly dense “sub-bands” (Fig. 1.2. 8).
The exact position and width of the sub-bands, the spread of the band gap and the quantisation of con-
duction and valence band depends on the semiconductor material of both the confined and the enclos-
ing layers. Furthermore it relies on the thickness of the confinement region, which defines the strength
of the quantization.
Quantum wells represent a two-dimensional electron gas (2DEG) for electrons present within the con-
duction band.
Optical properties:
Compared to a large scale semiconductor, intraband or “inter-sub-band” transitions get increasingly
probable for quantum wells. As the energy distance of the sub-bands is comparable to the infrared (IR)
wavelength region, these new transitions are giving rise to new applications. Inter-sub-band detectors
and lasers such as the quantum cascade laser have been produced. However, as these inter-sub-band
transitions need the permanent presence of electrons in the conduction band (or holes in the valence
band) a doping of the quantum well material with impurities (excess electrons or holes) or an external
electric charge injection by application of an electric field is required. The absorptivity for intraband
transitions increases considerably with a decreasing thickness of a quantum well.

4.1.2.3 Quantum wires


A thin semiconductor filament is called quantum wire, if its diameter along two spatial directions is
small enough to confine electrons and holes to below the exciton Bohr radius. Quantum wires have
been prepared in either free-standing form or embedded in a wider band gap dielectric medium. The
higher band gap of the outer cover establishes a two dimensional potential barrier to elementary elec-
tric charges, leading to a quantization of the energetic states of the semiconductor material’s valence
and conduction bands along the confined directions. The un-confined third spatial direction rests un-
affected and thus continuous concerning its energy states. The states that can be occupied by electrons
and holes result as a mixture of quantized and continuous states. Comparable to quantum wells the
quantisation causes a split into a set of “sub-bands”. However, these sub-bands are no longer “evenly
dense”. Each sub-band’s state density rather decreases towards higher excitation energies, resulting in
the highest possible state density at the lower edge of each sub-band (Fig. 1.2. 8).
The exact position and width of the sub-bands, their density distribution, the spread of the band gap
and the quantisation of conduction and valence band depends on the semiconductor material of both
the filament and the enclosing material. In addition it relies on the diameters of the wire along the two
confined spatial directions. The latter defines the strength of the quantization.

30/11/2005 Dissemination level : Public Page 110/273


IST-017255 MONA Deliverable D1.1 (M4)

Quantum wires permit free electron behaviour in only one direction along the wire. Hence, quantum
wires represent a one-dimensional electron gas (1DEG) for electrons present within the conduction
band.
Optical properties:
Due to their one dimensional geometry and the
confinement caused restrictions upon the electron
and hole motion within the semiconducting mate-
rial, quantum wires express an outstanding opti-
cal anisotropy in both absorption and lumines-
cence [Wang, 2001].
An illustrative example is given in Fig. 1.2. 9:
The field intensity (|E|2) is strongly attenuated
inside the nanowire for the perpendicular polari-
zation, E , whereas it is unaffected for the paral-
lel polarization, E//. The perpendicular polarized
field is not able to permeate the quantum wire.
Fabrication methods:
Compared to the fabrication of quantum well
structures, the realization of nanoscale quantum
wires requires precise growth control even in the Fig. 1.2. 9: Polarized excitation and emission spectra of an InP
nanowire. (A) Excitation spectra with the polarization of the
lateral dimension. Nanoscale quantum wire fabri- exciting laser aligned parallel (solid line) and perpendicular
cation techniques comprise nanoscale lithogra- (dashed line) to the wire axis. (B) Emission spectra taken with
the excitation parallel to the wire, and the detection polarizer
phy, selforganization, selective growth, and parallel (solid line) and perpendicular (dashed line). (C) Dielec-
tric model of polarization anisotropy (see text). source:
chemical synthesis. [Wang, 2001]

Taking the advantage of well-developed quantum


well fabrication technologies (MBE and
MOCVD), the most straightforward method to
realize one-dimensional nanostructures is etching
(and re-growth) through wire-defining masks
placed above the quantum wells. This nanoscale
lithography scheme offers significant flexibility Fig. 1.2. 10: Quantum wire fabrication based on nanoscale
etching and re-growth source: [Mao, 2004]
in the design of arrays of quantum wires that can
be incorporated in semiconductor laser cavities.
Different from nanoscale lithography that involves post-growth wire patterning, self-organized growth
methods are promising for semiconductor laser applications since high-density nanometer size quan-
tum wires can be fabricated without introducing damages caused by etching. In addition, the size of
self-organized quantum wires can be controlled
simply by adjusting the growth and deposition con-
ditions. Two primary self-organized quantum wire
fabrication methods are strain-induced lateral order-
ing and epitaxial growth on vicinal surfaces
[Mao, 2004] (see Fig. 1.2. 10).
Fig. 1.2. 11: Selective growth of quantum wires on a pre-
As in the case of self organized quantum wire patterned V-groove substrate. source: [Mao, 2004]

growth on vicinal surfaces, patterns generated using


lithography can provide templates for direct growth of one-dimensional nanoscale structures. Epitaxial

30/11/2005 Dissemination level : Public Page 111/273


IST-017255 MONA Deliverable D1.1 (M4)

growth on pre-pattered substrates provides more flexibility than in the design of quantum wires. Selec-
tively grown quantum wires have been frequently fabricated on pre-patterned V-shape grooves and L-
shape ridges of pre-deposited quantum well structures (see Fig. 1.2. 11).
Over the past decade, there has been tremendous progress in chemical synthesis techniques for grow-
ing nanoscale semiconductor quantum wires (one-dimensional nanowires). As originally proposed by
Wagner in 1964 [Wagner, 1964] for Au-catalyzed Si whisker growth, a unique gas-phase reaction
technique based on the so-called vapor-liquid-solid process has resulted in high quality lasing
nanowires. In a typical vapor-liquid-solid process, one-dimensional anisotropic crystal growth is pro-
moted by the presence of a liquid alloy-solid interface. A nanoscale catalyst liquid alloy droplet, which
defines the diameter of the resulting one-dimensional nanostructure, serves as the preferential site for
reactant adsorption and nucleation when supersaturated. The key feature of this vapor-liquid-solid
process is that equilibrium phase diagrams can be
applied to help select catalysts and predict growth
conditions. Ideally the catalyst (e.g., Au) and the
desired nanowire material (e.g., InAs) form eutectic
alloys, and the growth temperature can be chosen
between the eutectic point and the melting point of
the nanowire material. An example of the basic
processing steps for Au-catalyzed InAs nanowire Fig. 1.2. 12: Catalyzed growth of nanowires through the
vapor-liquid-solid process. source: [Mao, 2004]
growth is illustrated in Fig. 1.2. 12. The first step
involves deposition of one monolayer of Au on an
InAs (111)B substrate, followed by annealing, which results in the formation of nanometer size Au-In
liquid alloy droplets at a temperature above the Au-In eutectic point (a). With trimethylindium (TMI)
and arsine (AsH3) as the source gases flowing through the liquid alloy droplets, InAs crystals precipi-
tate at the nanoscale droplet-solid interfaces, forming long whisker-like nanowires (b).

[Baldwin, 2002] Baldwin R. K., Pettigrew K. A., Ratai E., Augustine M. P., Kauzlarich S. M., Solution Reduction Synthesis of Surface
Stabilized Silicon Nanoparticles, Chem. Commun. 17, 1822-1823, 2002.

[Bertram, 2002] Bertram B., Weller H., Zwischen Molekül und Festkörper, Physik Journal 1 Nr. 2, 47-52, 2002.

[Evi, 2005] http://www.evidenttech.com/qdot-definition/quantum-dot-about.php

[Huang, 2001] Huang, M., Mao, S.S., Feick, H., Yan, H., Wu, Y., Kind, H., Weber, E.R., Russo, R.E., Yang, P., Science, Vol. 292, p.1897,
2001.

[Ja-Chin, 2001] Ja-Chin J.,Shou-Yi K., Sun-Bin Y., Wen-Feng H.: Temperature induced stress of ZnSe quantum dots in glass matrix thin
films grown by pulsed laser depletion, Chinese Journal of Physics, Vol. 39, NO1, 90-97, 2001.

[Kapon, 1989] Kapon, E., Hwang, D.M., Bhat, R. Phys. Rev. Lett., Vol. 63, p.430, 1989.

[Lao, 2003] Lao J.Y., Nano Letters 3, 235 (2003),

[LoCascio, 2002] LoCascio M.: Engineering Semiconductor Properties Without Costly Epitaxial GrowtEngineering Growth, White paper,
http://www.evidenttech.com/why_nano/quantum-dot-nanotechnology-web-docs.php, 2002.

[Mao, 2004] Mao, S.S. Nanolasers: Lasing from nanoscale quantum wires., International Journal of

Nanotechnology, Vol. 1, Nos. 1/2, pp.42.85, 2004.

[Michalet, 2005] Michalet, X., Pinaud, F. F., Bentolila, L. A., Tsay, J. M., Doose, S., Li, J. J., Sundaresan, G., Wu, A. M., Gambhir, S. S.,
Weiss, S. Quantum dots for live cells, in vivo imaging, and diagnostics. Science, 307, 538 – 544, 2005.

30/11/2005 Dissemination level : Public Page 112/273


IST-017255 MONA Deliverable D1.1 (M4)

[ODC, 2005] http://www.qdots.com/live/index.asp

[Prasad, 2004] Prasad, P. N., Nanophotonics, Wiley-Interscience, 2004.

[Wang, 2001] Wang J., Gudiksen M. S., Duan X., Cui Y., Lieber C. M., Highly Polarized Photoluminescence and Photodetection from
Single Indium Phosphide Nanowires, Science 239, 1455-1457, 2001.

[Wagner, 1964] Wagner, R.S., Ellis, W.C., Appl. Phys. Lett., Vol. 4, p.89, 1964.

30/11/2005 Dissemination level : Public Page 113/273


IST-017255 MONA Deliverable D1.1 (M4)

4.1.3 Plasmonics

Total internal reflection and evanescent waves:


A specific type of confinement of an optical wave (light) is given by an evanescent field. An example
of an experimental geometry producing an evanes-
cent field or an evanescent wave is given by a total
internal reflection (TIR) set-up (Fig. 1.3. 1). Total
internal reflection results from a reflection of light at
the surface towards a dielectric medium with a lower
refractive index (n2). The incident and reflected light
itself propagates within an optically denser medium
(n1). Depending on the angle of incidence certain
fractions of light are being reflected and refracted
(i. e. are passing into the other medium). Once the
angle of incidence exceeds a “critical” value, the Fig. 1.3. 1: Total internal reflection (TIR) at the surface
light will be reflected, with no fraction intruding into of two dielectrics. Refractive indices n1 > n2. The light is
propagating within the “higher n medium” towards the
the less dense medium. (sin Θcrit = (n2/n1)) “lower n medium”. and is totally reflected once the inci-
dence angle exceeds thcritical value.
However, as the electric and magnetic fields cannot
be discontinuous at the boundary, the fields smoothly pass the medium border and exponentially decay
to zero on a nanometer-scale depending on the light’s wavelength, the incidence angle and the partici-
pating refractive indices.
Hence, even under TIR conditions a fraction of the incident energy penetrates the second medium. The
typical penetration depth for visible light is in the range of 50-100 nm. Whereas the penetration depth
perpendicular to the surface is limited to a couple of nanometers, the energy of this evanescent field
may propagate as an evanescent wave along the surface in the direction given by the incident and re-
flected beam. An evanescent wave thus represents a one-dimensional optical confined state. Its energy
can be used to generate fluorescence from appropriate particles near the surface. In case of evanescent
waves being used to optically excite target particles, a sharp discrimination perpendicular to the sur-
face will result. Only particles in the immediate proximity to the surface will be up for excitation. TIR
is typically used for microscopy and high quality imaging of fluorescently labelled biologicals. Due to
the sharp spatial discrimination TIR applications benefit from low signal background, no “out-of-
focus-signal” and minimized light exposure of out-of-focus sample regions.
Other nanoscale interactions are related to the evanescent coupling of waveguides. Photons propagat-
ing through an optical fibre may tunnel to a neighbouring waveguide, once it is close enough to touch
the evanescent field of the first one.
Plasmons and surface plasmon resonance (SPR):
A plasmon is a collectively excited plasma wave of free electrons in metals where the electrons longi-
tudinally oscillate against the ion-bodies of the material. Surface plasmons are a subset of these 'eigen-
modes' of the electrons, with the electronic oscillations being excited parallel to the surface of the
metal. They are evanescent surface waves with the EM-field strength being enforced in the space
above the metallic surface. Resonant coupling of light into a nanostructure generating surface plasmon
waves produces a large enhancement of the local electric field near the metal surface. Their field
strength is increased by an order of magnitude compared to typical evanescent fields resulting from
straight forward TIR or optical waveguides. Hence, a surface plasmon enhanced evanescent wave can
more efficiently generate fluorescence and nonlinear optical processes that require higher intensities.

30/11/2005 Dissemination level : Public Page 114/273


IST-017255 MONA Deliverable D1.1 (M4)

Surface plasmons are bound to regions in the material where the optical properties reverse, i.e. the
interface between a dielectric and conducting medium.
Surface Plasmons cannot couple directly to free-space electromagnetic radiation, as they propagate
within the metal film in a frequency and wave vector (momentum) range for which light propagation
is not allowed.
Plasmons have a characteristic momentum defined by
factors that include the nature of the conducting metallic
film and the properties of the medium on either side of the
film. Resonance occurs when the momentum of incoming
light is equal to the momentum of the plasmons (momen-
tum resonance). The momentum of the photons and plas-
mons can be described by a vector function with both
magnitude and direction. The relative magnitude of the
components changes when the angle or wavelength of the
incident light changes. However, plasmons are confined to
the plane of the metal film, so for SPR it is only the vector
component parallel to the surface that matters. Thus, for a
given energy of the incident light the angle must be cor-
rectly adjusted to successfully realize the surface plasmon
resonance.
Experimentally different methods have been developed to
bypass this problem, the most common of which is the
“attenuated total reflection” (ATR) realized by the
Kretschmann (Fig. 1.3. 2) configuration using TIR and a
prism for the coupling [Kretschmann, 1968].
Attenuated total reflection:
In the Kretschmann configuration, the metal layer is di-
rectly on top of the TIR surface enabling an efficient
plasmon generation by the coupling of the evanescent
optical wave (see above) to the electron gas in the metal
layer. Depending on the angle matching condition, the Fig. 1.3. 2 Momentum resonance. The orange
arrow indicates the momentum of the plasmon
state of resonance can be monitored by the intensity of the to be matched. The black vector diagrams
reflected light. Once the surface plasmon resonance (SPR) represent the component momenta of the inci-
dent light. By tuning the incidence angle the
occurs, considerable fractions of the exciting light are momentum resonance condition for the compo-
nent parallel to the surface can be matched.
transferred into the plasmon wave, thus minimizing the Fulfilling the resonance condition results in a
amount of reflected intensity. The resonance angle can dip in the reflected light intensity. source
[Kretschmann, 1968]
then be measured precisely.
As the plasmon momentum depends on the conducting metallic film and the properties of the dielec-
tric medium on either side, the resonance condition changes with the composition of the medium. As a
result even the resonance angle of the incident light modifies.
This type of SPR is known as resonant angle or angular SPR and is commonly used [Akimoto, 2000].
Alternatively, at a fixed angle of incident light, the wavelength can be varied until resonance occurs
[Quinn, 2000]. This is known as resonant wavelength SPR or spectral SPR but is not used widely.

30/11/2005 Dissemination level : Public Page 115/273


IST-017255 MONA Deliverable D1.1 (M4)

Grating SPR coupling:


Alternative SPR configurations are based on the
coupling of optical wave and surface plasmons by
a grating. The incident electromagnetic radiation
is directed towards a medium whose surface has a
spatial periodicity (D) similar to the wavelength of
the radiation, for example a reflection diffraction
grating (Fig. 1.3. 3). The incident beam (red) is
diffracted producing propagating modes which
travel away from the interface (blue) and evanes- Fig. 1.3. 3: Grating SPR coupling. For description see
cent modes which exist only at the interface. The text. source [QUB, 2005]

evanescent modes have wave vectors parallel to


the interface similar to the incident radiation. These modes couple to surface plasmons (green), which
run along the interface between the grating and the ambient medium.
Optical waveguide SPR coupling:
The coupling of optical wave and surface plas-
mons may furthermore be realized by an optical
waveguide system (Fig. 1.3. 4). A light wave is
guided by the waveguide and, entering the re-
gion with a thin metal overlayer, it evanescently
penetrates through the metal layer. If the surface
plasmon wave and the guided mode are phase- Fig. 1.3. 4: Waveguide SPR coupling. For description see
text. source [QUB, 2005]
matched, the light wave excites a surface plas-
mon wave at the outer interface of the metal. At
the end of the wave guide the outcoming light is detected by photodiodes. A decrease of the detected
signal again occurs upon the resonant surface plasmon coupling. Optical waveguide systems have
some attractive properties like the simple way to control the optical path, small size and ruggedness.
SPR dependencies and materials:
The surface plasmon resonance angle mainly depends on
the properties of the metal film, the wavelength of the
incident light and the refractive index of the media on
either side of the metal film. Because the refractive index
is sensitive to temperature, it is important to perform the
measurements at defined temperatures. The metal must
have conduction band electrons capable of resonating with
the incoming light at a suitable wavelength. Metals that
satisfy to this condition are silver, gold, copper, alumin-
ium, sodium and indium. In addition, the metal on the Fig. 1.3. 5: Dependence of the SPR dip on
the thickness of the gold layer.
sensor surface must be free of oxides, sulphides and not
react to other molecules on exposure to the atmosphere or liquid. Of the metals, indium is too expen-
sive, sodium too reactive, copper and aluminum too broad in their SPR response and silver too suscep-
tible to oxidation. This leaves gold as the most practical metal. Gold is rather resistant to oxidation and
other atmospheric contaminants but is compatible with a lot of chemical modification systems. The
thickness of the gold should be ~50 nm. The thickness of the metal layer is relevant: above the opti-
mum thickness the dip in reflective light becomes flat, below the optimum thickness the dip becomes
broader (Fig. 1.3. 5). Due to the two-dimensional geometry of the metal film a surface plasmon is

30/11/2005 Dissemination level : Public Page 116/273


IST-017255 MONA Deliverable D1.1 (M4)

highly p-polarized (polarized in the plane of the surface), the light source should be monochromatic
and polarized in parallel to the surface to obtain a sharp dip. The light not being p-polarized will not
contribute to the SPR and therefore increases the background intensity of the reflected light.

4.1.3.1 Plasmonics and nanoparticles:


Beyond the described plasmonic effects on two-dimensional macroscopic surfaces, plasmonics gains
increasing interest concerning even nanoparticles. In contrast to semiconductor nanoparticles, where a
quantum confinement leads to a quantization of energetic states, metallic nanoparticles exhibit major
changes in their optical spectra due to light absorption and the creation of plasmonic waves at the sur-
faces of the nanoparticles. Whereas two-dimensional macroscopic metallic surfaces require special
geometries for plasmon excitation, the “localized plasmons” of metallic nanoparticles may directly be
excited by light absorption. For metallic nanoparticles significantly smaller than the wavelength of
light, light absorption concentrates to a narrow wavelength range, Specific absorption bands are re-
ferred to as surface plasmon bands [Prasad,2004]. The position and shape of the plasmon absorption
band depends on the material, size and shape of the nanoparticles as well as on the surrounding me-
dium, i. e. its dielectric constant.
Plasmonic nanoparticles may even be designed as “core-shells”, with a dielectric core and a metallic
shell. By the specific figuration of parameters like core diameter and shell thickness the absorption
spectrum of these particles is widely tunable [Halas, 2002].
Surface plasmons are of interest for their potential in subwavelength optics, data storage, light genera-
tion, microscopy and bio-photonics. Their propagation in nanoparticles such as nanowires or nano
metal strips have been mapped and illustrated by techniques of near-field optical microscopy [Kott-
mann, 2001], [Weeber, 2001].
Applications of plasmonics principally is based on three properties:
• local field enhancement near the metallic surface
• evanescent waves emanating from the surface under the SPR condition
• sensitivity of the SPR to the dielectric medium surrounding the metal

4.1.3.2 Applications of metallic nanostructures:


Plasmonic waveguiding and photonic circuit elements:
Optical waveguides, e. c. fibres, are widely used, but their minimum lateral dimensions are limited
according to the wavelength to transport. The minimum size of transverse light confinement is λ/2n,
with n being the refractive index of the transporting medium.
This limit can be overcome by plasmonic waveguiding. Metallic nanostructures (gold or silver parti-
cles of <50 nm diameter) are arranged in an array at particle distances of ~75 nm. When light emerg-
ing from a tip of a tapered fibre of a near field microscope locally excites a plasmon oscillation, the
oscillation energy propagates through the array at a velocity of ~10 % of the speed of light.
[Maier, 2003] Plasmonic waveguiding structures allow diameters of down to λ/20. [Meier, 2005]
However, losses due to resistive heating internally damp the surface plasmon wave and thus consid-
erably shrink the guiding distance to a few micrometers, and hence limit its applicability.
Nonetheless SP waveguides are discussed for miniaturized photonic logic circuits on scales of only a
few wavelengths [Barnes, 2003]. On such circuits light would be converted into SPs, which then
propagate along lengthy nanostructures for getting processed by logic elements. Besides waveguides,
such circuits require various components such as switches, couplers etc.

30/11/2005 Dissemination level : Public Page 117/273


IST-017255 MONA Deliverable D1.1 (M4)

Photonic circuit elements such as nano-inductors, nano-capacitors, and nano-resistors have been re-
cently discussed in [Engheta, 2005]. Fig. 1.3. 6.
shows the interaction of an optical wave with both a
non-plasmonic and a plasmonic (metallic) nanoparti-
cle. It was shown that these interactions can be trans-
lated into equivalent electronic circuits. In this view a
non-metallic sphere acts as a nano-capacitor as the
real part of ε is positive resulting in a capacitive resis-
tance. The equivalent nano-circuit for a non-plasmonic
nanosphere can be shown as in the bottom left part of Fig. 1.3. 6: A basic nanoscale circuit in the optical
regime. Left: a nonplasmonic sphere with ε>0, which
Fig. 1.3. 6. Since there are two capacitive elements, provides a nanocapacitor and a nanoresistor; right: a
plasmonic sphere with ε<0, which gives a nano-
there is no resonance present in this case - a fact that is inductor and a nanoresistor. Solid black arrows show
consistent with the absence of resonance for optical the incident electric field, and the thinner field lines
together with the gray arrows represent the fringe
wave interaction with the small non-plasmonic nano- dipolar electric field from the nanosphere. source
[Engheta, 2005]
sphere. In the same way a metallic sphere acts as a
nano-inductor as the real part of ε is negative resulting
in an inductive resistance. The effect however depends on the frequency of the interacting light wave.
Here it is assumed that the sphere is made of a plasmonic material, such as noble metals in the visible
or IR band (e. g., Ag, Au). The permittivity may take a negative value in these frequency bands result-
ing in an “effective inductance”. Therefore, the equivalent circuit for the case of optical wave interac-
tion with a plasmonic nanosphere may be presented as in the bottom right of Fig. 1.3. 6.
In this case, since there is an inductor in parallel to a capacitor, the circuit may exhibit resonance,
which corresponds to the plasmonic resonance for the optical wave interaction with the metallic
nanoparticles.
SPR based harmonic generation:
Since surface plasmons may dramatically enhance the local EM fields they have been used for non-
linear processes such as harmonic generation (frequency doubling and tripling) since a long time
[Coutaz, 1985], [Tsang,1996]. More recent research has been conducted on the use of non-linear ef-
fects of SPs for photonic circuitry elements [Smolyaninov, 2002].
Light generation (see also chapter 4.2.2):
SPs have been used for the generation of light in organic LEDs [Hobson, 2002], where the loss of
excitation energy from the organic material into SP modes on the cathode surface was recovered by
the use of periodic nanostructures.
Furthermore surface plasmons have been employed as lasing modes in quantum cascade lasers
[Tredicucci, 2000].
Hole arrays:
If a metallic film is provided with a periodic array of subwavelength holes, light incident to the metal
surface will transmit the metal film to a much higher degree than expected from classical diffraction
theory. Light transmission can even be greater than the fraction area occupied by the holes [Ebbe-
sen, 1998]. Thus, even light impinging on the metal between the holes can be transmitted. The effect is
due to the generation of surface plasmons which act like an antenna in the optical regime. SPs and
their property of field enhancement act as transmission enhancers [Krishnan, 2001]. The transmittance
of the hole array depends on the wavelength of the incident light, the size of the holes and the hole
distance.

30/11/2005 Dissemination level : Public Page 118/273


IST-017255 MONA Deliverable D1.1 (M4)

Single apertures:
A single sub-wavelength pinhole can also show enhanced transmission, once it gets surrounded by a
periodic structure that enables harvesting of the incident light. If in addition such a nanostructure is
added to the output side of the metallic film, the emerging i. e. outgoing light will be beamed rather
than diffracted. Furthermore, the light is predominantly re-emitted from a very small area surrounding
the aperture [Lezec, 2002], [Hibbins, 2002]. The single aperture behaviour of surface plasmons gives
rise that a well directed nanometer source of light can be developed as a source of promising optical
technologies such as high-density magneto-optic storage.
Dielectric sensitivity of SPR:
Besides the surface plasmon induced field enhancement which is used for absorption and fluorescent
enhancement (see below), another SPR property is its high sensitivity to the surrounding dielectric
medium. Whereas 2-dimensional thin-film SPR sensors are being commercialized (e. c. by Biacore
Life Science AB, Sweden), metallic nanoparticles and shells have gained interest only recently. If
chemical or biochemical analytes bind to metallic nanoparticles the dielectric micro-environment of
the particle is changes and hence its plasmon resonance.
Blood immuno assay:
Gold nano-shells (~20 nm shell thickness) have been antibody

conjugated with antibodies (immunoglobulin)


nano- nano-
[Hirsch, 2003]. Upon presence of a suitable analyt particle particle

(antigene) within the sample, the nanoparticles start


to form dimers (Fig. 1.3. 7) or higher order aggre- analyt

gates. The aggregation of the metallic nanoparticles


results in a red-shift of the SPR and a reduced ex- nano-
particle
nano-
particle

tinction coefficient.
A well-established application of the utilization of Fig. 1.3. 7: Blood immuno assay. source
metallic nanostructures is surface enhanced Raman [Hirsch, 2003]
scattering (SERS):
Surface enhanced Raman scattering (SERS) can be used to detect single molecules. In Raman spec-
troscopy the light scattered inelastically from a molecule provides information about the molecule's
vibrational quantum states. The rather weak Raman effect can be strengthened by a factor of up to 14
orders of magnitude if the molecules are attached to nm-sized metal structures. In this way, an MIT-
Berlin group has detected single dye molecules attached to colloidal silver particles in an aqueous
solution [Kneipp, 1998]. The advantages of this method are its speed and its ability to supply structural
information about the molecules. Furthermore it doesn't bleach the molecules. Single-molecule detec-
tion is of practical interest in chemistry, biology, and medicine, and pollution monitoring; examples
include DNA sequencing and the tracing of biomedically interesting molecules. An overview on SERS
may be found in [Campion, 1998].
Other applications are due to absorption enhancement for material processing and fluorescence en-
hancement of organic dyes and nanoparticles, for biomedical applications and nano-sensors:
The plasmonic effects determining the radiative and non-radiative properties of a fluorophore in the
proximity to a metallic surface are:
• local field enhancement / enhancement of the local excitation and fluorescence
• metal-dipole interaction opening up non-radiative relaxation processes leading
to fluorescence quenching within ~5 nm distance to the surface

30/11/2005 Dissemination level : Public Page 119/273


IST-017255 MONA Deliverable D1.1 (M4)

• enhancement of the radiative rate due to an increased photon mode density


(leading to quantum yield enhancement and a decreased fluorescence lifetime
resulting in an increased photostability)
Plasmonic-induced fluorescence lifetime reduction:
An application of a plasmonic-induced lifetime decrease is the enhancement of the photostability of
dyes. Photo bleaching of organic dyes is a considerable drawback in fluorescence based imaging and
spectroscopy (sensing). When a molecule spends less time within the excited state, i. e. has a shorter
fluorescence lifetime, it minimizes its probability of getting photo-destructed, as the preferred destruc-
tion pathways are from the excited electronic states. Plasmonic based fluorescence detection thus, has
the potential to valuable signal increase due to both reduced photo bleaching and enhanced quantum
yield.
Spatially directed fluorescence emission:
Another property of “plasmonic fluorescence” is its spatially directed emission. Fluorescence conven-
tionally is isotropically emitted, which means that only a minor fraction of the emitted light can be
detected due to spatial angle limits of the detecting optics. Plasmonic fluorescence in contrast allows
for coupling light into the surface plasmon mode by matching the optical and the plasmon wave. As
this holds not only for the excitation light but also for the light emitted by the target particle, the gen-
erated fluorescence can couple back couple back into the metal surface and emerge at the plasmon
angle of the appropriate wavelength. [Lakowicz, 2003], [Enderlein, 2002], [Enderlein, 2002a]. How-
ever, instead of freely diffusing through an aqueous solution the target fluorophores have to be at-
tached to a metal surface or kept in nanometer distance in order to benefit from the surface plasmon
effect.
Detection of DNA hybridisation:
Thiolated oligonucleotides are bound to silver nanoparticles on a glass surface [Malicka, 2003]. Once
complementary fluorescein labelled oligonucleotides are added, a dramatic increase of fluorescence
intensity on a time scale of several minutes can be observed upon the hybridisation process. During
the binding process, the fluorescein tagged molecules get into proximity to the nanoparticles and show
SPR-induced enhanced fluorescence, thus increasing the DNA detection sensitivity.
Two-photon-absorption:
The properties of the plasmonic EM-field enhancement have recently been used for sophisticated two-
photon-excitation of fluorescence. Typically a dye has a band for the absorption of a photon of a char-
acteristic energy from an electromagnetic field. This energy may radiatively be emitted as a fluores-
cence photon of slightly longer wavelength, i. e. lower energy (Stokes shift). Instead of absorbing a
single photon, there is a certain probability for the fluorophore to get excited by the simultaneous ab-
sorption of two photons of half energy (2-fold wavelength) [Göppert-Mayer, 1931]. However, to reach
a finite probability for this simultaneous two photon absorption extraordinary EM excitation intensities
are required. Typically mode locked solid state lasers like pulsed titanium-sapphire oscillators are
used. The laser energy is concentrated into femto-second pulses to establish sufficient excitation en-
ergy [Brand, 1997]. An advantage of two-photon-excitation is the large spectral separation of excita-
tion and emission light resulting in considerably lower signal background. Furthermore, as the effec-
tive two photon excitation zone is strongly limited to small spatial areas, a high spatial resolution can
be obtained and a high penetration depth into the target material is made possible. Consequently, two-
photon-excitation is used in materials processing, biology, and medicine, e. c. for intracellular spec-
troscopy, biomedical imaging and in depth-tissue analysis as well as for 3D-nano-lithography (see
chapter 3.1). For the latter two-photon-absorption driven chemistry is being investigated for the 3-D

30/11/2005 Dissemination level : Public Page 120/273


IST-017255 MONA Deliverable D1.1 (M4)

patterning of materials. This allows fabrication of 3-D structures including various materials like met-
als, and nanoparticles of metals and oxides, that can have interesting optical properties.
Due to the strong field enhancement in the plasmonic evanescence region two-photon processes under
much lower optical excitation energy conditions get possible and have been used for two-photon mi-
croscopy spectroscopy [Wenseleers, 2002] and micro-fabrication [Kik, 2002].

[Akimoto, 2000] Akimoto T., Effect of incident angle of light on sensitivity and detection limit for layers of antibody with surface plasmon
resonance spectroscopy; Biosens.Bioelectron. ,15: 355-362, 2000.

[Barnes, 2003] Barnes W. L., Dereux A., Ebbesen T. W., Surface Plasmon Subwavelength Optics, Nature insight, Vol 424, 14 August 2003.

[Brand, 1997] Brand, L., Eggeling C., Zander C., Drexhage K. H., Seidel C. A. M., Single-molecule identification of coumarin-120 by time-
resolved fluorescence detection: comparison of one- and two-photon excitation in solution. J. Phys. Chem. A. 101:4313-4321, 1997..

[Campion, 1998] Campion A., Kambhampati P. Surface-enhanced Raman scattering, Chemical Society Reviews, volume 27, 1998.

[Coutaz, 1985] Coutaz J. L. et al., Experimental Study of Surface-Enhanced Second-Harmonic Generation on Silver Gratings, Phys. Rev. B
32, 2227-2232, 1985.
[Ebbesen, 1998] Ebbesen T. W. et al., Extraordinary Optical transmission through Sub-Wavelength Hole Arrays, Nature 391, 667-669, 1998.

[Engheta, 2005] Engheta N. et al., Circuit Elements at Optical Frequencies: Nanoinductors, Nanocapacitors, and Nanoresistors, Phys. Rev.
Lett. 95, 095504 ,2005.

[Enderlein, 2002] Enderlein J, Spectral properties of a fluorescing molecule within a spherical metallic nanocavity., Physical Chemistry
Chemical Physics 4, 2780-2786, 2002.

[Enderlein, 2002a] Enderlein J, 'Theoretical study of single molecule fluorescence in a metallic nanocavity., Applied Physics Letters 80, 315-
317, 2002.

[Göppert-Mayer, 1931] Göppert-Mayer M., Über Elementarake mit zwei Quantensprüngen, Ann. Phys. 5, 273-294, 1931.

[Halas, 2002] Halas N., The Optical Properties of Nanoshells, Opt. photon. News August, 26-31, 2002.

[Hibbins, 2002] Hibbins A. P. et al., Gratingless Enhanced Microwave Transmission through a Subwavelength Aperture in a thick Metal
Plate, Appl. Phys Lett. 81, 4661-4663, 2002.

[Hirsch, 2003] Hirsch L. R. et al., A Whole Blood Immunoassay Using Gold Nanoshells, Anal. Chem. 75, 2377-2381, 2003.
[Hobson, 2002] Hobson P. A. et al., Surface Plasmon mediated Emission from Organic Light Emitting Diodes, Adv. Mat. 14,, 1393-1396,
2002.

[HUJ, 2005] Hebrew University of Jerusalem, Department of Chemistry; http://chem.ch.huji.ac.il/

[Kik, 2002] Kik P. G., Maier S. A., Atwater H. A., Plasmon Printing- A New Approach to Near-Field Lithography, Mater. Res. Soc. Symp.
Proc. 705, 66-71, 2002.

[Kottmann, 2001] Kottmann J. P., Martin O. J. F., Smith D. R., Schultz S., Plasmon Resonances of Silver Nanowires with Non-regular Cross
Section. Phys.Rev. B 64, 5402, 2001.

[Krishnan, 2001] Krishnan A. et al., Evanescently Coupled Resonance in Surface Plasmon Enhanced transmission, Opt. Commun. 200, 1-7,
2001.

[Kretschmann, 1968] Kretschmann E., Reather, H.; Radiative decay of nonradiative surface plasmon excited by light.; Z.Naturf. ;23A: 2135-
2136, 1968.

[Kneipp, 1998] Kneipp, K., Kneipp, H., Kartha, V. B., Manoharan, R., Deinum, G., Itzkan, I., Dasari, R. R., Feld, M. S., Detection and
identification of a single DNA base molecule using surface-enhanced Raman scattering (SERS). Physical Review E 57, R6281-R6284
(1998).

[Lakowicz, 2003] Lakowicz J. R.,Malicka J., Gryczynski I., Gryczynski Z., Geddes C. D., Radiative Decay Engineering: The Role of
Photonic Mode Density in Biotechnology, J. Phys. D: Appl. Phys. 36, R240-R249, 2003.

[Lezec, 2002] Lezec H. J. et al., Beaming Light from a.Subwavelength Aperture, Science 107, 1895, 2002.

[Maier, 2003] Maier S. A., Kik P. G., Atwater H. A., Meltzer S., Harel E., Koel B. E., Requicha A. A. G., Local Detection of Electrromag-
netic Energy Transport below the Diffraction Limit in Metallic Nanoparticle Plasmon Waveguides, Nature Materials/Advanced Online
Publication, p. 1-4, 2 March 2003.

[Maier, 2005] Maier S. A., Atwater H. A., Plasmonics: Localization and Guiding of Electromagnetic Energy in Metal/Dielectric Structures,
J. Appl. Phys 98, 011101, 2005.

[Malicka, 2003] Malicka J., Gryczynski I., Lakowicz J. R., DNA Hybridization Assays Using Metal Enhanced Fluorescence, Biochem.
Biomed. Res. Commun. 306, 213-218, 2003.

[Prasad, 2004] Prasad, P. N., Nanophotonics, Wiley-Interscience, 2004.

30/11/2005 Dissemination level : Public Page 121/273


IST-017255 MONA Deliverable D1.1 (M4)

[Qub, 2005] Queens University of Belfast; http://www.qub.ac.uk/mp/con/plasmon/sp1.html

[Quinn, 2000] Quinn J. G., Development and application of surface plasmon resonance-based biosensors for the detection of cell-ligand
interactions. Analytical Biochemistry ,281: 135-143, 2000.

[Smolyaninov, 2002] Smolyaninov I. I. et al., Single-Photon Tunneling via Localized Surface Plasmons, Phys. Rev. Lett. 88, 187402, 2002.

[Tredicucci, 2000] Tredicucci A. et al., Single Mode Surface-Plasmon Lasers, Appl. Phys. Lett. 76, 2164-2166, 2000.
[Tsang,1996] Tsang T. Y. F. et al., Surface-Plasmon Enhanced Third-Harmonic Generation in thin Silver Films, Opt. Lett. 21, 245-247,
1996.

[Weeber, 2001] Weeber J. C. et al. Near-Field Observation of Surface Plasmon Polariton Propagation on thin Metal Stripes, Phys.Rev. B 64,
45411, 2001.

[Wenseleers, 2002] Wenseleers W., Stellaci F., Meyer-Friedrichsen T., Mangel T., Bauer C. A., Pond S. J. K, Marder S. R., Perry J. W., Five
Orders-of-Magnitude Enhancement of Two-Photon Absorption for Dyes on Silver Nanoparticle Fractal Clusters, J. Phys Chem B 106, 6853-
6863, 2002.

30/11/2005 Dissemination level : Public Page 122/273


IST-017255 MONA Deliverable D1.1 (M4)

4.1.4 Photonic crystals

Photonic crystals are ordered nanostructures consisting of two media with different dielectric constants
which are periodically arranged on a length scale comparable to the desired electromagnetic wave-
length of operation [Joannopoulos, 1995]. The periodically changing regions with different refractive
indices, called “domains”, affect the propagation of light. Bragg scattering of the incident photons
occurs as a function of the wavelength λ, the angle of incidence Θ of the photons and the periodicity d

Fig.1.4. 1 Schematic structure of 1D, 2D and 3D photonic crystals.

of the varying dielectric constant. This is described by the Bragg-equation mλ = 2nd sinΘ .
The periodic potential produces a photonic band gap which hinders light within a band of wavelength
to propagate in the material. For a certain range of photon energies and certain directions of propaga-
tion light is not allowed to propagate through the medium. If light of this energy band is generated
inside the medium it cannot propagate in certain directions. For a range of photon energies and certain
angles of incidence, light is reflected if it is generated outside the medium.
1D, 2D and 3D photonic crystals exist (for illustration see Fig.1.4. 1). 1D photonic crystals are multi-
layer stacks which prevent the propagation of light of a particular wavelength in the direction perpen-
dicular to the surface. They are used e.g. in high quality mirrors when light within the photonic band-
gap is used. In some 2D column-like structures the propagation of light of a particular wavelength
band is forbidden in the directions perpendicular to the column axis. 2D photonic crystals may be used
in waveguides to replace optical fibers. 3D photonic crystals may be created by structures similar to
woodpile or by structures composed of nanospheres.
3D: If there is a large refractive index contrast (Δn > 2.9 [Birner, 1999]) in conjunction with a proper
crystal symmetry and shape of the building blocks of the crystal, a complete photonic bandgap forms.
In this case the bandgap is independent of the direction of the incident photons. Photonic crystals with
a complete bandgap are also called photonic “bandgap materials”. Close packed structures of periodic
domains, consisting e.g. of spherical particles, where the high-refractive index material forms the ma-
trix, while the refractive index material fills the gap, is called “regular structure” or “opal structure”
photonic crystal. The opal structure does not lead to a full bandgap. In order to create structures with
complete bandgaps, an inverse opal structure has to be produced with a high-refractive index material
filling the gaps in a low refractive index matrix.
2D: In 2D photonic crystals the variation of refractive indices occurs in a plane while the third dimen-
sion is either very large (as in optical fibers) or very small (as in nanoscopic lasers)
Important features of photonic crystals:
Presence of photonic bandgap:
Local field enhancement: In a photonic crystal electromagnetic fields are spatially distributed. The

30/11/2005 Dissemination level : Public Page 123/273


IST-017255 MONA Deliverable D1.1 (M4)

field distribution can be manipulated to produce local field enhancement in one dielectric or the other.
Thereby nonlinear optical effects can be enhanced, i.e. low-frequency modes near the photonic band-
gap concentrate their energy in the regions with high-refractive index, while high-frequency modes
concentrate their energy in the low-refractive index material. If for example a material with a large
value of nonlinear susceptibility is chosen as the high-refractive-index material an a photonic crystal
structure, the nonlinear interactions can be significantly increased by illuminating the PC with light
with a frequency close to the low-frequency photonic bandgap edge.
Anomalous Group Velocity Dispersion:
In general the group velocity vg inside a particular material is given by the relation vg=dω/dk to be
calculated from the photonic bandgap structure. Due to the highly anisotropic and complicated band
structure, the group velocity in a PC is strongly modified. A phenomenon related to the anomalous
group velocity dispersion is the “superprism phenomenon” occurring in prisms based on PC materials.
It shows in strongly angle-sensitive light propagation and dispersion due to refraction. Only small
changes in the angle of incidence (e.g. within +/- 7°) produce large changes in propagation of refracted
beam (+/- 70°). This phenome-
non is also dubbed ultra-
refraction. Whereas superdisper-
sion causes a stronger separation
of the different wavelength com-
ponents spreading over a much
wider angle than in conventional
prisms. Ultra-refraction may be Fig.1.4. 2 Illustration of the superprism.effect (right), in comparison to a conven-
used for beam steering over a tional prism.

wide angle.
Another feature of the anomalous dispersion of the group velocity is the self-collimating phenomenon
in PC structures. Insensitive of the divergence of the incident beam, collimated light propagation can
be realized. Depending on the band structure dispersion for a particular wavelength and the propaga-
tion direction of the incident light, either self-collimation or divergent propagation can be achieved for
the same PC structure. Self-collimation might find application in optical circuitry.
Anomalous Refractive Index Dispersion:
In non-absorbing spectral regions, the refractive index increases steadily with increasing frequency,
which is referred to as normal dispersion of the refractive index. At frequencies in the vicinity of an
optical absorption region, the refractive index shows a strongly dispersive behaviour, which is referred
to as anomalous dispersion of the refractive index. Photonic crystals exhibit anomalous dispersion near
the high frequency band edge.
Anomalous dispersion in nonlinear PCs may be employed to produce phase-matching for efficient
generation of second or third harmonic of light. Markowicz et al. observed a strong enhancement of
the 3rd order harmonic generation in a 3D polystyrene-air photonic crystal structure, which was
pumped by a near IR laser beam [Markowicz, 2004].
Microcavity-Effect in Photonic Crystals:
Introducing defects into a PC structure in a controlled way, allows one to embed micro-or nanocavi-
ties. Tailoring the size and shape of the defect sites, the properties of these tiny cavities can be tuned.
A defect site inside a PC structure is responsible for localized photon states within the bandgap region
which allow light of a particular wavelength to pass. These defects, which might be realized by a miss-
ing domain (sphere, rod, layer), correspond to the impurity states (caused by dopants) in semiconduc-
tor materials. E.g. a spherical optical microcavity with diameter d, which can be generated by a miss-

30/11/2005 Dissemination level : Public Page 124/273


IST-017255 MONA Deliverable D1.1 (M4)

ing sphere in a self-assembled colloidal crystal, supports the propagation/emission of optical modes
with d = nl/2. Decreasing the dimension of the microcavity, decreases the number of allowed cavity
modes. If an emitter, e.g. a quantum dot is embedded in this microcavity, its emission is enhanced only
if the emission is narrower than the cavity resonance peak.
Fabrication of photonic crystals:
Colloidal self assembly:
Colloidal self assembly can be used to produce an inverse opal structure to from close-packed polysty-
rene spheres. Subsequently the gaps are filled with a high index refractive material, such as e.g.GaP
(n~3.5).
Gravity sedimentation: (takes long)
In gravity sedimentation, particles in suspension settle to the bottom of a container, while the solvent
evaporates. Therefore the proper conditions have to be found, so that periodic lattices are formed dur-
ing the evaporation process. The process my take up to four weeks to produce good PCs.
Cell method:
An aqueous dispersion of spherical particles is injected into a cell made of two glass substrates. The
bottom substrate is coated with a frame of photoresist. One side of the frame contains openings to
allow the liquid / solvent to pass while the particles are retained. The latter settle to form an ordered
structure. The thickness of the PC structure usually does not exceed 20 microns and lateral extensions
are of the order of 1 cm. However, as produced PCs are susceptible to defects which are generated
upon the drying process.
Vertical deposition methods:

Fig.1.4. 3 Vertical deposition method base on a colloidal suspension of silica particles which self-assemble on a vertically positioned
silicon wafer (source [Joannopoulos, 2001])

A substrate is set up in a vertical position and the meniscus formed by a colloidal suspension is swept
downwards across the substrate. The spherical particles are forced to form an ordered arrangement on
the vertical surface, while the solvent evaporates. An applied temperature gradient allows convective

30/11/2005 Dissemination level : Public Page 125/273


IST-017255 MONA Deliverable D1.1 (M4)

flow of the particles that helps minimize unwanted sedimentation A 3D ordered structure is produced
as illustrated for silica particles deposited on a silicon wafer [Joannopoulos, 2001] in Fig.1.4. 3.
As illustrated, the ordered silica structure serves as template, as the voids between the microspheres
are uniformly infiltrated with silicon. Finally, a silicon photonic crystal is produced on top of the sili-
con wafer surface removing the silica spheres in a wet etching process.
In order to generate a full photonic bandgap a large refractive index contrast is essential. A commonly
applied technique to produce full bandgap PCs uses the infiltration of the voids between spherical
particles with a suitable high-refractive index material. For this purpose wet or dry infiltration tech-
niques may be used. Wet infiltration is a chemical solution based process. This method has been used
e.g. to prepare semiconducting nanocrystals or rigid polymers with high refractive indices in the voids.
An example for dry infiltration uses a silica matrix, which is infiltrated with GaP using metal organic
precursors in a MOCVD process [Prasad, 2004].
Two-photon-lithography:
3D photonic crystals can be produced based on materials, such as polymers, which are sensitive to two
photon excitation. Two-photon polymerization is initiated when femto-second laser pulses are tightly
focussed in a photosensitive material. Two-photon excitation is a process, which quadratically depends
upon the intensity. In this process photochemical or photophysical changes are induced in the material
structure that are spatially localized near the high intensity region of the focal point due to simultane-
ous absorption of two identical photons. Thus the energy transferred to the material by two photons of
wavelength λ corresponds to that transferred by a single photon of wavelength λ/2. At the same time
one takes advantage of long-wavelength exciting light with larger penetration depth, which enables 3D
patterning of bulk material. Scanning the focus within the material allows one to produce micronscale
and sub-micronscale (down to about 200 nm when using an 800 nm Ti:sapphire laser) 3D structures.
Subwavelength structures can be produced because the absorption profile for the two-photon process
is narrower than the beam profile. In addition this method is well suited to introduce localized defect
structures in a PC in order to generate waveguiding structures. The most common structures which
have been fabricated using two-photon lithography are logpile-type photonic crystals.
Photosensitive materials:
The monomers used can be classified as epoxy resins acrylates and urethane acrylates. In the former
case photo-generated acids initiate the polymerization. In the case of acrylate-type monomers free
radicals initiate the polymerization. The energy absorbed by a molecule can emit fluorescence with
photon energy larger than that of the exciting light. The fluorescent photons can be used to initiate
various chemical reactions such as photo-polymerization, photo-crosslinking or photo-dissociation.
E-Beam lithography:
E-Beam lithography [see chapter 3.1.1] is a costly, but rather precise method, which has been used
mostly for the fabrication of 2D photonic crystals.
Etching methods:
Dry and wet etching techniques are often used to produce 2D photonic crystals. Dry etching, such as
RIE utilizes reactive ions generated by plasma discharge in chlorine or fluorine based reactive gas. an
electric field is applied in order to accelerate the ions towards the substrate. Good control over hole
size is achieved, but the maximum etching depth is limited. Many semiconductors have been proc-
essed using RIE. An example for wet etching is electrochemical etching of Si to produce microporous
silicon PCs. First the substrate is prepatterned using conventional lithography, followed by chemical
etching based on KOH solution. The pre-etched features represent nucleation centers during the elec-

30/11/2005 Dissemination level : Public Page 126/273


IST-017255 MONA Deliverable D1.1 (M4)

trochemical etching performed in an electrochemical cell using HF solution. Deep holes can be pro-
duced using this method. In another wet-chemical etching approach anodic oxidation in acidic solu-
tions is used to produce highly ordered porous honeycomb structures in Al2O3. The structure consists
of an array of close-packed hexagonal columns. The pore size and density can be controlled by the
applied voltage and by selecting a suitable acid.
The porous Al2O3 structure may serve as template filling its voids with another material. Filling the
voids with a polymer serves to produce negative replica which again may be used to initiate the
growth of other periodic structures.
Glancing Angle Deposition (GLAD):
Using the GLAD technique highly porous films can be deposited at extreme incidence angles.
This method is based on PVD techniques in conjunction with a rotable substrate-holder. The orienta-
tion of the substrate can be controlled with two stepper motors. By rotating the substrate appropriately,
it is possible to control the growth and shape of the individual structures as they face the incoming flux
. Kennedy et al. applied this technique in order to produce tetragonal square spiral crystals with a pre-
dicted bandgap of 15% for a silicon structure in the visible , near IR and IR spectral regions [Kennedy,
2002].
Holographic Methods:
HL uses the interference of several coherent laser beams, generated by splitting an initial laser beam.
An interference pattern is formed in a photosensitive material, which chemically modifies the material
to be patterned at the positions of maximum intensity. Two beams are necessary for the fabrication of
1D periodic structures /PCs, The angle of incidence of the beams determines the periodicity.
These one-dimensional PCs may serve as templates for the deposition and alignment of liquid crystal
nanodroplets. In this case the nanoparticles preferentially deposit in regions which are not photo-
modified. HL may also be used to produce a resist-mask for subsequent etching of the underlying ma-
terial. A single laser exposure is sufficient to create highly periodic structures. However, the refractive
index contrast of these structures is not very large (for further details se chapter 3.1.1.1.3 and refer-
ences therein).
Defects in photonic crystals:
Photonic crystals may be used to produce waveguiding structures. Therefore point and extended de-
fects have to be created inside the PC. The defect structures provide the only means for light of a par-
ticular wavelength (within the photonic bandgap) and direction to propagate along that direction inside
the PC.
Two and three photon polymerization are well suited in order to introduce waveguiding defect struc-
tures into self-assembled photonic crystals.
Hybrid process technologies will most probably be used to if mass production of PC based structures
is to be achieved. For example, in a first step holographic lithography could be used in order to gener-
ate high quality 3D photonic crystals in a photoresist material. In a second step multi-photon polym-
erization might be used in order to create defect cavities or waveguides. In the third step solution
based methods or CVD techniques might be used in order to fill the pores of the photoresist template
with a high refractive index material to invert the 3D PC structure.

30/11/2005 Dissemination level : Public Page 127/273


IST-017255 MONA Deliverable D1.1 (M4)

Applications:
Photonic crystals have applications such as high capacity optical fibers, color pigments, nanoscopic
lasers, photonic crystal LEDs, chemical or biochemical sensing, and photonic integrated circuits being
able to manipulate light in addition to electrical currents.
Dielectric mirrors and interference filters:
1D photonic crystals, i.e. multilayer systems consisting of alternating thin films of materials with dif-
ferent refractive indices, find application in
high-quality dielectric mirrors (Bragg
stacks), and interference filters.
Photonic Crystal Laser:
Painter et al. formed a laser array based on
two-dimensional (2-D) photonic crystal
single-defect-cavities embedded in a half-
wavelength thick waveguide. Simple litho-
graphic adjustments in the cavity geometry
were used to control the wavelength, emis-
Fig.1.4. 4 Illustration of a photonic crystal microcavity, consisting of a 2D
sion direction, and polarization of cavity triangular array of air holes in a half-wavelength-thik suspended membrane. A
modes. They used MOCVD (see chapter single defect structure forms a cavity in the PC (source: [Painter, 2000]).

3.2.1.2.6) in order to first prepare the epitaxy for the PC laser cavities in a monolithic InP substrate. As
schematically illustrated in the right-hand image in Fig.1.4. 4 the structure contained four 0.85 %
compressively strained quaternary quantum wells (InGaAsP films), which are designed for a peak
emission wavelength of 1.55 μm at room temperature. E-beam lithography followed by a series of dry
and wet etching steps was used to create the photonic crystal and the defect cavities. The 2D photonic
crystal consists of a triangular array of etched air holes in a half-wavelength dielectric slab of refrac-
tive index 3.4. For a refractive index contrast of 3.4:1 and for suitably large hole radius to lattice spac-
ing ratio (r/a, see Fig.1.4. 4, left) a photonic bandgap for guided modes opens up. The removal of a
single hole forms a local energy well for photons, and energy is trapped in the cavity by distributed
Bragg reflection off of the 2D photonic crystal, and total internal reflection within the high refractive
index slab waveguide. In their experiment the slab thickness d was chosen to be fixed. The defect cavi-
ties were optically pumped from above at an angle normal to the sample surface using an 830 nm
semiconductor laser diode. Through lithographic control of the lattice parameters of the photonic crys-
tal a 125 nm laser wavelength tuning range was achieved [Painter, 2001].
Wu et al. employed focused ion beam etching in order to fabricate 2D photonic crystal structures in
ZnO films. The 200 nm ZnO films have been deposited using plasma-enhanced MOCVD. FIB allows
to precisely control the position, size and density of the air cylinders in the ZnO film. The lattice con-
stant a, denoting the distance between two nearest air holes, was varied between 100 nm and 160 nm
while the ratio r/a (r radius of cylindrical air hole) was chosen to be 0.25. The samples were pumped
using the third harmonics of a mode-locked Nd-Yag laser. At room temperature lasing was achieved
only for a = 115 nm and a = 130 nm, in the near UV spectral range, where the lasing modes represent
spatially localized defect states near the edge of the photonic bandgap. They were formed by short
range structural disorder introduced during the fabrication process [Wu, 2004].
Colombelli et al. have produced a device combining two device concepts: quantum cascade lasers and
photonic crystals. The photonic crystal was a 2D planar crystal with high refractive index contrast,
which acts as a resonator providing feedback necessary for lasing. At the same time the PC structure
can diffract light perpendicular to the device surface. In a quantum cascade laser, radiation is gener-

30/11/2005 Dissemination level : Public Page 128/273


IST-017255 MONA Deliverable D1.1 (M4)

ated by electronic transitions in a structure consisting of ultra-thin alternating layers of two semicon-
ductor materials. The energy levels of the crystal electrons can be tuned by controlling the thickness,
periodicity, and composition of the layers. Quantum confinement splits the bulk conduction band into
sub-bands and mini-bands, which determine electrical transport and enable new optical transitions.
When a bias voltage is applied across the material, a periodic cascade of such inter-sub-band transi-
tions is established. The population inversion necessary for lasing is then achieved through electrical
injection. The photons generated are reflected back and forth inside the semiconductor stimulating the
emission of other photons. This process results in amplification that enables high output power from a
small device. QC lasers, cannot emit laser light through the surface of the device, but mainly in the
plane orthogonal to the growth direction of the semiconductor film. This is due to the translational
invariance of the crystal which is broken in that direction. This feature prevents the realization of ver-
tical-cavity surface emitting devices, which, in contrast, are widely used for conventional interband
semiconductor lasers because they simplify coupling with optical fibers, are more efficient, and allow
massive parallelization.
Colombelli et al. used lithography to create a two-dimensional photonic crystal within the planar
quantum cascade waveguide (for details see [Colombelli, 2004]). The QC active material was MBE-
grown (see chapter 3.2.1.1.) and consisted of a three-well vertical transition design. A proof of concept
for a photonic crystal injection laser was realized, which enables emission of laser light in the mid-to
far IR regions [Colombelli, 2003]. However, device performance, such as laser threshold and opera-
tion temperature still have to be optimized. The technology is a promising approach to new applica-
tions such as the miniaturization of QC lasers, multi-wavelength 2D- laser arrays for spectroscopy, gas
sensing and imaging. Colombelli et al. suggested to extend this concept to the development of mid-
and far-infrared normal -incidence detectors[Colombelli, 2004].
PC based LEDs
Sandia National Laboratories, Lumileds Lighting and the University of New Mexico are developing
photonic lattices for improving the efficiency of blue LEDs based on indium gallium nitride (InGaN)
emissive layers. Photonic crystals have the potential to couple substantially more of the light internally
generated within the active layers of an LED into external, usable radiation than is possible with sim-
ple planar surfaces. The light output from planar surfaces is limited by total internal reflection, which
allows only a small fraction of the internally generated light to escape from the high refractive-index
LED materials. 2D photonic crystals, with periods comparable to the optical wavelength within the
LED, employ diffractive effects to couple out light that is otherwise unavailable, enhancing the overall
efficiency of the LED. Extensive process development is being performed to fabricate the extremely
fine, nano-scale features necessary for the production of a photonic crystal using electron beam, nano-
imprint, and interferometric lithography. Detailed theoretical calculations are also being performed to
design photonic lattices for improved LED efficiency. Finally, complete LEDs are being fabricated
using various photonic lattice designs and their emission efficiency is being determined. The project
has a goal of doubling the external quantum efficiency of InGaN LEDs [Sandia, 2005].
Most of the light emitted from a conventional semiconductor LED is lost within the high dielectric
material, resulting in low extraction efficiency. Erchak et al. developed a concept for an LED-system
with high extraction efficiency. The team has recently succeeded in designing and fabricating a proto-
type photonic crystal LED designed to operate in the resonant mode regime The LED was found to
possess extraction efficiencies that are six-fold greater than a comparable LED without photonic crys-
tal.
The PC based LED concept is schematically illustrated in Fig. 1.4. 5: 2D photonic crystals were util-
ized to enhance the extraction of light in the vertical direction from an LED and to directly couple

30/11/2005 Dissemination level : Public Page 129/273


IST-017255 MONA Deliverable D1.1 (M4)

light from a pump laser into the LED


structure. The individual layers were
MBE grown (chapter 3.2.1.1), the 2D
PCs were patterned using electron beam
lithography (EBL; chapter 3.1.1.3) fol-
lowed by RIE (chapter 3..1.3.1.1).
The device structure consisted of an
InGaP/InGaAs active region deposited
on top of a low dielectric AlxOy spacer
layer and an AlxOy /GaAs distributed
Bragg reflector (DBR). The active re-
gion consists of 32 nm of InGaP beneath
Fig. 1.4. 5 Schematic illustration of an LED mesa with a triangular
photonic crystal
an 8 nm InGaAs quantum well; the up-
per InGaP had a thickness of either 95
nm or 158 nm. The quantum well photo-
luminescence spectrum exhibits a full width at half maximum of 65 nm at room temperature.
A 2D photonic crystal consisting of a triangular lattice (lattice constant a = 380 nm) of holes (hole
radius r 0 56 nm; hole depth d = 101 nm) was etched into the upper InGaP cladding layer (see
Fig. 1.4. 5) of the LED structure that emits 980 nm light. To minimize non-radiative carrier recombi-
nation at the air hole surfaces, and to retain the active material in the structure, the holes do not pene-
trate the InGaAs quantum wells. Photoluminescence was excited with a continuous wave Ti:AlO3
laser pumping at 810 nm. The research team also achieved input coupling of laser light at normal inci-
dence providing enhanced optical pumping [Erchak, 2001]. Coupling the 810 nm pump light into the
LED structure to enhance optical pumping requires a triangular photonic crystal with a smaller effec-
tive index than the photonic crystal used to enhance extraction; this is accomplished by using a larger
hole diameter, a smaller lattice constant, and holes (a = 340 nm; r = 104 nm; d = 60 nm) etched deeper
with respect to a thinner active region.
Scientists at the University of Michigan reported an electrically driven LED comprising InAs/GaAs
quantum dots in a GaAs photonic crystal structure. The device, grown by molecular beam epitaxy,
features a triangular-lattice photonic crystal of 300-nm-diameter airholes at a lattice constant of 420
nm and 20 x 6-nm quantum dots. Thermal annealing of the structure at 740 °C shifted the emission
peak to the desired wavelength, boosted intensity and narrowed the emission linewidth. They demon-
strated the concept for an electrically injected InAs/GaAs self-organized quantum-dot photonic crystal
microcavity light-emitting diode operating at 1.04 µm. Light–current characteristics are obtained for
devices with two- and five-defect period cavities with maximum light output of 0.17 µW measured in
the surface-normal direction [Sabarinathan, 2002].
Photonic crystal fibers (PCFs):
Photonic crystals fibers are 2D PC structures formed of periodically arranged tubular structures con-
taining a well designed defect structure for waveguiding. The cladding of several hundred capillary
tubes forms an optical band-gap material, which confines light to the central defect-structure, which
may be a so called hollow-core or solid-core. The core interrupts the periodicity of the surrounding PC
cladding and define the only possible path, where light of a particular wavelength of the region within
the photonic bandgap can propagate. They are often made of glass strands with microscopic air chan-
nels, typically fabricated by melting and pulling a close stack of bare fibers to a size, necessary for the
creation of the desired photonic bandgap. Usually a preform has to be fabricated first, consisting of a
precise arrangement of tubes whose shape and distributions determine the final structure of the fiber.

30/11/2005 Dissemination level : Public Page 130/273


IST-017255 MONA Deliverable D1.1 (M4)

This preform is then stretched in a precisely controlled melting process, always maintaining the bal-
ance of pressure within the hollow tubes against the viscous forces of the material [Prasad, 2004],
[Russell, 2003]. In a PCF structure waveguiding of light of frequency in the bandgap region occurs at
structural defects, which interrupt the periodicity responsible for the photonic band gap. Two variant
waveguiding PCF structures are those which use solid cores as extended defects and those with hol-
low/air cores. Both structures can guide light of any frequency in the bandgap region as single-mode
fibers. Solid-core PCFs two processes might be responsible for trapping light in the core, that is total
internal reflection caused by the high refractive index of the core and due to the existence of the
photonic bandgap in the PCF medium. In contrast waveguiding in hollow-core PCFs exclusively oc-
curs due to the existence of the photonic bandgap thus allowing light to be reflected from the surface
of the surrounding PCF medium. In comparison to conventional fibers, optical losses during the
waveguiding process would be reduced by orders of magnitude using hollow-core PCFs. However,
this could not be realized so far, since the reduction of optical losses is counteracted by unwanted de-
fects in the PCF medium.
In PCFs light can be guided at large bending angles, which is not possible in conventional optical fi-
bers [Joannopoulos, 1997].
Another approach to fabricate PCFs is based on 1D photonic crystals. Dellemann et al. reported of the
fabrication of hollow-core fibers based on perfect mirror technology. CVD techniques can be used to
build up a preform by successively depositing layers of high and low refractive index material. This is
the dominant approach to produce silica fibers. In an alternative approach chalcogenide (As2Se3) glass
was evaporated on a polymer (polyethersulfone) sheet, which was then wrapped around a glass man-
drel, followed by a solidification step under heat. The glass mandrel was etched out and the resulting
preform could be drawn in the drawing tower [Dellemann, 2003]. Inside the hollow core of the 1D
bandgap fibers 99% of the light is localized because of the highly effective mirrors.
Large et al. of the University of Sydney reported of the production of polymer-based PC fibers, a
promising approach in application areas where flexibility, weight and connectivity issues are crucial.
In addition polymer-based PCFs leverage the tailorizable properties and processing opportunities
(lower processing temperatures of the order of 200° C) of polymer materials [Large, 2003].
Optical communication:
The carrier frequency used in optical telecommunication technology is limited to 1.3 to 1.55 µm re-
gion due to the group velocity dispersion in conventional optical fibers. Using well designed PC struc-
tures zero group velocity dispersion over a broad range of wavelength can be achieved. Novel LEDs
and Lasers based on PC structures could emit light in a narrow wavelength range together with highly
selective optical filters that could be integrated on one chip [Parker, 2000].
Photonic crystal sensing:
Electrostatic interactions between spherical particles, such as polystyrene spheres lead to self-
assembled ordered structures. 3D periodic structures of colloidal crystal arrays composed of highly
charged polystyrene spheres (100 nm diameter) can be used for chemical or biochemical sensing.
Therefore the polystyrene spheres are polymerized within a hydrogel. The latter swells or shrinks re-
versibly in the presence of the material to be detected, e. g. metal ions or glucose.
The hydrogel contains a so-called molecular-recognition group that selectively reacts with the analyte
material. This reaction causes the swelling of the gel due to an increase of the osmotic pressure. This
leads to a change of the periodicity in the PC structure leading to a shift of photonic bandgap towards
larger wavelength.

30/11/2005 Dissemination level : Public Page 131/273


IST-017255 MONA Deliverable D1.1 (M4)

[Birner, 1999] A. Birner et al., Photonische Kristalle, Physikalische Blätter 55, 27-33 [1999].

[Colombelli, 2003] R. Colombelli et al., Quantum Cascade Surface-Emitting Photonic Crystal Laser, Science 302, 1374 (2003).

[Colombelli, 2004] R. Colombelli et al., Fabrication technologies for quantum cascade photonic-crystal microlasers, Nanotechnology 15,
675 (2004).

[Erchak, 2001] Erchak et al., Enhanced coupling to vertical radiation using a two-dimensional photonic crystal in a semiconductor light-
emitting diode, Appl. Phys. Lett. 78, 563 (2001). http://web.mit.edu/cmse/www/IRG-I.nug02.html

[Joannopoulos, 1997] .J.D. Joannopoulos et al., Photonic crystals: putting a new twist on light, Nature 386, 143 (1997).

[Joannopoulos, 2001] J.D. Joannopoulos, Self-sssembly lights up, Nature 414, 257-258 (2001).

[John, 1987] S. John, Phys. Rev. Lett. 58, 2486 (1987).

[Kennedy, 2002] S.R. Kennedy et al., Fabrication of Tetragonal Square Spiral Photonic Crystals, NanoLetters 2, 59.62 (2002).

[Large, 2003] M. Large, Crystal light, Spie’s OEmagazine, January 2003.

[Markowicz, 2004] P.P. Markowicz et al., Dramatic Enhancement of Third-harmonic Generation in Three-Dimensional Photonic Crystals,
Phys. Rev. Lett. 92, 083903 (2004).

[Painter, 2000] O. Painter et al., Lithographic Tuning of a Two-Dimensional Photonic Crystal laser Array, IEEE Photonics Technology
letters 12, 1126 (2000).

[Parker, 2000] G. Parker and M. Charlton, Photonic crystals, PhysicsWorld, Feature article , August 2000.

[Prasad, 2004] N.P. Prasad, Nanophotonics, Wiley & Sons, Inc. (2004).

[Russell, 2003] P. Russell, Photonic Crystal Fibers, Science 299, 358 (2003).

[Sabarinathan, 2002] J. Sabarinathan et al., An electrically injected InAs/GaAs quantum-dot photonic crystal microcavity light-emitting
diode, Appl. Phy. Lett. 81, 3876 (2002)
http://www.photonics.com/spectra/tech/XQ/ASP/techid.1495/QX/read.htm

[Sandia, 2005] http://www.netl.doe.gov/ssl/portfolio-05/DevelopmentofPhotonic-Crystal.htm

[Vlasov, 2001] Y. Vlasov et al., On-chip natural assembly of silicon photonic bandgap crystals, Nature 414, 289-293 (2001).

[Wu, 2004] X. Wu et al., Ultraviolet photonic crystal laser, Appl. Phys. lett. 85, 3657 (2004).

[Yablonovitch,1987] E. Yablonovitch et al., Phys. Rev. Lett.58, 2059 (1987).

30/11/2005 Dissemination level : Public Page 132/273


IST-017255 MONA Deliverable D1.1 (M4)

4.2 Nanotechnology in Photonic Devices


4.2.1 Laser Diodes

Semiconductor lasers are widely used in modern life. In telecommunications they send signals for data
transmission along optical fibres. In consumer electronics, semiconductor lasers are used to read or
write the data on CDs, DVDs and CD-ROMs. Other applications include laser printers and laser point-
ers or medical diagnostics and therapeutics. Their size is typically a few microns in cross-section and a
few hundred microns in length. Nanostructuring technologies enabled to minimize semiconductor
materials to dimensions of below the wavelength of light. This results in quantum mechanical effects
that are not observable in traditional bulky semiconductors. The quantization effects of quantum con-
fined materials (see chapter 4.1) have been exploited for the design of modified semiconductor lasers.

4.2.1.1 Quantum well lasers:


In 1976 the advantages of using quantum wells as the active layer in semiconductor lasers have been
predicted [Dingle, 1976]. The carrier confinement and nature of the electronic density of states result
in more efficient devices operating at lower threshold currents than lasers with "bulk" active layers. In
addition, the use of a quantum well, with discrete transition energy levels dependent on the quantum
well dimensions (thickness), provides means of "tuning" the resulting wavelength of the material. The
critical size, i. e. the thickness of the quantum well, depends on the desired spacing between energy
levels. A quantum well laser consists of a heterostructure typically grown by molecular beam epitaxy
(MBE) or metal-organic chemical vapor deposition (MOCVD). The quantum well itself is a semicon-
ductor film (e. c. GaAs) of thickness less than a wavelength of light to establish the quantum confine-
ment. This film is covered from both sides by layers of a wider band gap semiconductor (e. c. Al-
GaAs). The laser transition occurs upon stimulated re-combination of excited electron-hole excitons.
The efficiency of a quantum well laser is greater than that of a bulk laser due to a tailoring of the dis-
tribution of electrons and holes that are involved in the stimulated emission (light producing) process.
Furthermore they allow for lower pump thresholds than with thicker layers in the bulk material.
The use of semiconductor quantum well (QW) structures as optical gain media has resulted in impor-
tant advances in semiconductor laser technology. Quantum confinement in one dimension restricts
carrier motion in QWs to the remaining two dimensions. Consequently, QWs have a two-dimensional
step like density of electronic states that is nonzero at the band edge, enabling a higher concentration
of carriers to contribute to the band-edge emission and leading to a reduced lasing threshold, improved
temperature stability, and a narrower emission line.
Recently progress in new generation GaAs based long-wavelength laser devices was reported to be
achieved by the development of the first 1.586 μm GaInNAsSb/GaNAs/GaAs single quantum well
laser diode. The device worked under continuous-wave operation and at room temperature. In com-
parison with InP-based laser devices which are currently available on the market, the GaAs-based near
infrared materials feature a lower cost, better characteristic temperatures, stable properties and simpler
photonic integration. Hence, they are ideal for developing the new generation of multi-purpose opto-
electronic devices. However, extending GaInNAs(Sb) lasing beyond 1.4μm has become a challenging
task due to a large number of defects created while growing these highly strained metastable alloys
with high nitrogen contents. Zichual et al. succeeded in developing a GaInAsN(Sb)/GaNAs/GaAs
quantum well edge emitting laser diode emitting 1.586 μm at room temperature. The origin was due to
the development of 1.3 μm GaInNAs/GaAs quantum wells grown by molecular beam epitaxy.
Through optimization of Nitrogen incorporation and introduction of Sb and overlapped layers of Ga-

30/11/2005 Dissemination level : Public Page 133/273


IST-017255 MONA Deliverable D1.1 (M4)

NAs, the emitting wavelength of GaInNAsSb/GaNAs/GaAs quantum wells was expanded up to 1.586
μm. [Zichuan, 2005]
Even recently the University of Illinois at
Urbana-Champaign (UIUC) developed a
quantum well based transistor laser delivering
continuous wave operation at room tempera-
ture. Operating at 25°C, the device delivers
3 GHz continuous wave output with emission
at 1006 nm. It was grown on a semi-insulating
GaAs substrate, and contained an InGaAs
quantum well in the base region, and a 25 nm-
thick InGaP emitter layer. The device fabrica-
tion required eight mask layers for three wet-
chemical etching steps, three dry etching Fig. 2.1. 1: UIUC's transitor laser, is mounted on a copper heat
steps, and three metallization steps, to form a sink, delivers continuous wave operation at room temperature. The
main image shows the emission from the front facet, and the inset on
transistor laser with a 850 µm Fabry-Perot the right shows the device's emitter (e), base (b), and collector (c)
contacts, as well as the direction of the light output. source: [Hol-
cavity and a 2.2 µm-wide emitter (see Fig. onyak, 2005]
2.1. 1). However, the transistor laser is yet a
laboratory device and requires further improvement to play an important role in electronic-photonic
circuits. [Holonyak, 2005 ]

4.2.1.1.1 Quantum cascade lasers


In existing semiconductor lasers, a photon of light is emitted when an electron jumps from the conduc-
tion band to a hole in the valence band (“bipolar”). Once an electron has been neutralized by a hole it
can emit no more photons. The quantum cascade laser in contrast is a “unipolar” laser, i. e. it uses only
electrons as charge carriers. In quantum cascade lasers the valence band is not involved in the lasing
process. The laser transitions rather occur within the conduction band. To enable such an intra-band
(or inter-sub-band) lasing process, the conduction band has to establish discrete separated energy sub-
levels. These are resulting from the quantum confinement of the laser material which is squeezed to
thin films of thicknesses of below a wavelength, i. e. forming quantum wells. The quantum cascade
laser contains a series of quantum wells. It consists of alternating layers of two different semiconduc-
tor materials like GaAs/AlGaAs, for example. The semiconductor material in the laser is arranged to
sandwich an electron in two dimensions. As it exits, it emits a photon and loses energy. When the
lower-energy electron leaves the first well, it enters a region of material where it is collected and sent
to the next well. Typically 25 to 75 active wells are arranged in a quantum cascade laser, each at a
slightly lower energy level than the one before. This is producing a cascade effect, and allows 25 to 75
photons to be created per an electron journey. This is unlike diode lasers which emit only one photon
over the similar cycle. In practice this means that a quantum cascade laser’s power can outperform
diode lasers operating at the same wavelength due to the cascading effect and the ability to carry large
currents. [Bell, 2000]

30/11/2005 Dissemination level : Public Page 134/273


IST-017255 MONA Deliverable D1.1 (M4)

Fig. 2.1. 2: left: Interband- vs. intersubband- transitions. right: principle of cascading. source [WSI, 2004]

Fig. 2.1. 2 shows the working principle of a quantum cascade laser as an arrangement of multiple
quantum wells in comparison to a laser with a single quantum well.
As the quantum cascade lasing process is an intra-band process (smaller energy gap), the emitted ra-
diation is less energetic compared to visible light. However, quantum cascade laser may cover the
whole mid-IR range of 3.4-17 µm [Bell, 2000].
The entire structure of quantum cascade lasers laser is typically manufactured by molecular beam epi-
taxy (MBE). By changing the thickness of the semiconductor layers and the layer material, the laser's
wavelength can be modified. This is a considerable advantage over diode lasers, whose wavelengths
depend on the band gap of the given material and is therefore restricted
The laser's comparably high power (up to 1 W in pulsed mode), the wide tuning range and room tem-
perature operation make it useful for spectroscopic applications like remote sensing of environmental
gases and pollutants in the atmosphere. Future applications may include vehicular cruise control in
conditions of poor visibility, collision avoidance radar, industrial process control, and medical diag-
nostics such as breath analyzers.

4.2.1.1.2 Cascade surface-emitting photonic crystal laser


In quantum cascade lasers the translational invariance of the lasing multilayer-crystal is broken only in
the growth direction, radiation is emitted mainly in the plane orthogonal to this direction. This feature
prevents the realization of vertical-cavity surface-emitting devices, which, in contrast, are widely used
for conventional interband semiconductor lasers because they simplify coupling with optical fibers,
are more efficient, and allow massive parallelization [Tredicucci, 2003]. Colombelli et al. circum-
vented this limitation by using a two-dimensional photonic crystal structure[Colombelli, 2003]. In a
photonic crystal, the refractive index is periodically modulated, so that only a restricted range of wave
vectors (called the Brillouin zone) is available for light propagation. This results in the creation of
photonic bands, similar to electronic bands in a solid. Photonic crystals offer opportunities for manipu-
lating light, for example, by confining it to length scales comparable to its wavelength (see chapter
4.1). Colombelli et al. used lithography to create a two-dimensional photonic crystal within the planar
quantum cascade waveguide. In their structure, optical modes are created enabling light to be radiated
vertically in the direction orthogonal to the waveguide plane. Beyond the vertical emission, this solu-
tion may enable further miniaturization of this type of device size, down to the laser wavelength.
These two properties would be useful for a parallel integration of many quantum cascade lasers on the
same chip.

30/11/2005 Dissemination level : Public Page 135/273


IST-017255 MONA Deliverable D1.1 (M4)

A further enhancement in the density of states at the band edge and an associated reduction in the
lasing threshold is, in principle, possible with quantum wires and quantum dots (QDs), where the
quantum confinement is in two and three dimensions, respectively [Arakawa, 1982], [Asada, 1986].

4.2.1.2 Quantum dot lasers:


The fabrication of quantum dots may be achieved by different techniques such as etching, colloidal
synthesis or self-organized growth. Depending on the production method the lasing properties of QDs
are different.
The recent growth of interest in quantum dot (QD) heterostructures for both microelectronic and opto-
electronic applications is driven by the need to overcome some of the principal limitations of current
technologies. For example, the increased complexity and number of channels required in telecom and
datacom systems, together with increasing data bit rate per channel at equivalent or lower energy con-
sumption, space and cost, demands energy-saving uncooled solutions with fewer components. The
benefits of quantum dots may help to approach these needs and may be useful to complete the utiliza-
tion of quantum wells.
The electronic spectrum of QDs consists of well-separated atomic-like states with an energy spacing
that increases as the dot size is reduced. In very small QDs, the spacing of the electronic states is much
greater than the available thermal energy (strong confinement), inhibiting thermal depopulation of the
lowest electronic states, which is expected to result in a lasing threshold that on average is tempera-
ture-insensitive at an excitation level of only one electron-hole pair per dot. Additionally, QDs in the
strong confinement regime have an emission wavelength that is a pronounced function of size, adding
the advantage of continuous spectral tunability over a wide energy range simply by changing the size
of the dots. The prospect of realizing QD lasers for which the output color can be controlled by ma-
nipulation of QD size and semiconductor composition has been a driving force in nanocrystal QD
research for more than a decade [Klimov, 2000]. The utilization of colloidal quantum dots (nanocrys-
tals) seems to be preferable due to the achievable size homogeneity and the ability to produce ex-
tremely small quantum dots (down to <5 nm) and the possibility to provide a protective coating around
the particles. However, achieving optical gain, the prerequisite of a lasing process, remained critical
and so far is only achievable by optical excitation [Eisler, 2002].
In other approaches quantum dots are directly fabricated from semiconductors. They accidentally may
be generated when thin quantum wells are fabricated by molecular beam epitaxy (MBE) or metal-
organic chemical vapor deposition (MOCVD) where some fluctuations of the thickness can occur.
Under certain conditions (Stranski-Krastanov growth), quantum dots can be grown in a self-organized
fashion, so that they acquire more consistent sizes and distribution [Chen, 2002]. Subsequently, they
are mostly overgrown with the substrate material. Typical dimensions of the often pyramid-like
shaped quantum dots are in the order of 5-20 nm. Their density can be in the order of a billion dots per
square centimeter. In most cases, a large number of dots is simultaneously used in a device. Quantum
dots appear to be useful for a variety of applications, e. g. for white light-emitting diodes (see below)
and for laser diodes. In addition this type of epitaxially grown self-assembled QDs may also be
employed in photodetector applications, such as Quantum Dot Infrared Photodetectors (QDIPs) [Liu,
2003], where typically InAs or InGaAs QDs are grown on GaAs or InP substrates using MOCVD
[CQD, 2005].
Furthermore, self-organized In(Ga)As/GaAs quantum dots, or quantum boxes, are grown by molecular
beam expitaxy (MBE) or MOCVD on GaAs, InP and other substrates and are being incorporated in
microelectronic and opto-electronic devices, such as interband and intersublevel lasers and detectors
and modulation devices [Bhattacharya, 2004].

30/11/2005 Dissemination level : Public Page 136/273


IST-017255 MONA Deliverable D1.1 (M4)

For InAs-GaAs based quantum dot lasers emitting at 1300 nm, a digital modulation up to 12 Gb/s at
room temperature was recently demonstrated. At 10 Gb/s the bit error rate was below 10-12. Passively
mode-locked QD lasers are able to generate optical pulses with repetition frequencies between 5 and
50 GHz, with a minimum - Fourier limited - pulse length of 3 ps. In the set-up no beam filamentation
of the fundamental mode, and strongly reduced sensitivity to optical feedback are observed making
quantum dot lasers superior to quantum well lasers for systems or networks. In addition quantum dot
semiconductor optical amplifiers (QD SOAs) could be demonstrated to gain recovery times of 120 –
140 fs, which is 4 – 7 times faster than bulk- or quantum well SOAs (see also [Akiyama, 2004]). Their
net gain of above 0.4 dB/(mm*QD-layer) provides novel types of booster amplifiers and Mach–
Zehnder interferometers. The achievements resulted from systematic developments of self-organized
growth technologies [Bimberg, 2005].
Self assembled grown QD heterostructures even feature size quantization in all three dimensions.
Structurally, they represent tiny 3D insertions of a narrow bandgap material, coherently embedded in a
wide-bandgap single-crystalline matrix. Because of their perfect crystal environment, the electronic
and optical properties of heterostructure QDs resemble those of single atoms, rather than those of the
bulk solid state, even though each QD may actually be composed of up to a few million single atoms.
This distinguishes them from semiconductor QDs in glass matrices and QDs that are obtained by etch-
ing, where surface or interface states play a dramatic role [Ledentsov, 2004].
Undoped QD heterostructures lasers have recently been shown to operate at a modulation speed of 5
Gbit/s. For p-doped QD lasers, the direct modulation speed is expected to be an order of magnitude
higher. Passively mode-locked QD lasers have been demonstrated to operate at frequencies beyond 40
GHz, with low pulse width (2 ps), low jitter and high peak power of ~100 mW. Currently, high-
performance QD GaAs lasers only operate at up to 1.35 µm. To extend this range, the utilization of
metamorphic InGaAs buffer layers on top of GaAs-AlGaAs layers is proposed. Wafer-fused 1.5 µm
VCSELs with InP lattice-matched active regions and GaAs-matched GaAs-AlAs distributed Bragg
reflectors (DBRs) have been shown to be suitable for reliable continuous-wave operation, although
they do suffer from low yield and high production costs [Ledentsov, 2004].
In 2004 even Fujitsu Ltd and the University of Tokyo reported the development of a quantum dot laser
that succeeded in minimizing temperature-sensitive output fluctuations. The newly developed quan-
tum dot laser achieves high-speed operation of 10 Gb/s across a temperature range of 20°C to 70°C
without electrical current adjustments and only minimal output fluctuations caused by changes in tem-
perature. Due to the fact that the optical output of conventional “strained quantum-well lasers” is sen-
sitive to changes in ambient temperature, it is
necessary for the driving current to be ad-
justed according to the ambient temperatures.
Thus, peripheral circuits are required, increas-
ing cost and power consumption and limiting
size reduction possibilities. By increasing the
optical gain by layering quantum dots into 10
layers, raising the density of each layer, p-
doping (inserting a p-type impurity in close
proximity to the quantum dots), and by using a
laser structure that features low parasitic ca-
pacitance for optimized high-speed modula- Fig. 2.1. 3: Structure of the Fujitsu quantum dot laser. source:
tion, Ishida et al. successfully achieved high- [Ishida, 2004]
speed operation of the laser with temperature-

30/11/2005 Dissemination level : Public Page 137/273


IST-017255 MONA Deliverable D1.1 (M4)

independent output at temperatures exceeding room temperature. [Ishida, 2004]. Fig. 2.1. 3 shows a
scheme of this QD laser.

4.2.1.3 Quantum wire lasers:


Since the first report of lasing in quantum wires by Kapon et al. [Kapon, 1989], quantum wire lasers
have evolved from ''microlasers'' in which the one-dimensional nanostructure is embedded in a micron
size optical cavity, to ''nanolasers'' in which, the material gain and optical feedback are simultaneously
achieved by individual nanoscale quantum wires. One-dimensional semiconductor fabrication tech-
nologies based on nanoscale lithography, self-organisation, selective growth, and chemical synthesis
resulted in advances in the fabrication of quantum wire lasers.
The smallest Fabry-Perot type optical cavity that can effectively provide positive feedback of stimu-
lated emission belongs to single crystalline nanowires having a length on the order of light wavelength
[Mao, 2004]. Surface-emitting lasing action has been demonstrated in semiconductor nanowires
[Huang, 2001] in which two crystalline facets serve as reflecting mirrors of the cavity. The realization
of the nanowire nanolasers opens up a new and plausible route for achieving simultaneous carrier and
photon confinement in one-dimensional nanoscale cavities.
Semiconductor lasers based on two-dimensional quantum well structures represent the first generation
nanoscale semiconductor laser technology. Their success is largely due to the breakthrough in two
fabrication techniques, molecular-beam epitaxy (MBE) and metal-organic chemical vapor deposition
(MOCVD), which allow for the deposition of semiconductor materials with atomic-layer precision.
Both optically and electrically pumped semiconductor lasers have been achieved using nanoscale
quantum wires as the active gain media. Semiconductor lasers based on one-dimensional nanostruc-
tures have evolved to truly one-dimensional nanolasers. Individual nanowires can act as both the gain
medium and the optical cavity [Huang, 2001].

Fig. 2.1. 4 shows a schematic illustration of a low damage GaInAsP quantum wire laser cavity grown
on a p-type InP (100) substrate as an example of a lithographically defined quantum wire laser
[Nunoya, 2000]. The structure was fabri-
cated by first growing a quantum well layer
by MOCVD, then using reactive ion etch-
ing to form a wire pattern in the active re-
gion through an electron-beam written
mask, followed by a second MOCVD re-
growth step. The cavity mirrors were
cleaved such that light propagated normal
to the grating grooves. The laser structure
Fig. 2.1. 4: (a) Schematic illustration of an etched mesa quantum
consisted of a p-type InP buffer layer (2 wire laser structures, (b) Cross-sectional SEM image of the active
µm), an undoped Ga0.22In0.78As0.47P0.53 region of an etched quantum wire laser (43 nm wide quantum wires) .
source: [Mao, 2004]
lower optical confinement layer (170 nm,
lattice matched to InP), five undoped Ga0.22In0.78As0.82P0.18 compressively strained quantum well layers
(7 nm) sandwiched using six undoped Ga0.25In0.75As0.50P0.50 tensile-strained barrier layers (9 nm thick),
an upper optical confinement layer (45 nm) with the same composition as the lower one, and an InP
cap layer (10 nm).

30/11/2005 Dissemination level : Public Page 138/273


IST-017255 MONA Deliverable D1.1 (M4)

In a different approach the substitution of a


quantum well with a short period superlat-
tice structure could create a self-organized
quantum wire array with lateral composition
modulation. Quantum wires grown by such
strain-induced lateral ordering scheme have
been applied to serve as the active media for
semiconductor lasers [Wohlert, 2001]. Fig.
2.1. 6 shows the quantum wire laser based
on strain-induced lateral ordering with a 60 Fig. 2.1. 6: Schematic illustration of an edge-emitting semiconduc-
tor laser with strain-induced lateral ordering quantum wires as the
µm wide and 500 µm long edge-emitting active gain media. source: [Mao, 2004]
cavity fabricated by MBE. The GaInAs
quantum wire laser structure (a), grown on a n-type InP (100) substrate, consists of 15 pairs of n-type
Ga0.47In0.53As/Al0.48In0.52As (5 nm/10 nm) superlattice buffer layer, a n-type Al0.48In0.52As cladding
layer (1 µm), an undoped active region sandwiched between an undoped Al0.24Ga0.24In0.52As lower and
upper wave guiding layer (130 nm), a p-type Al0.48In0.52As cladding layer (1 µm), and a p-type
Al0.47In0.53As cap layer. The active re-
gion consists of five quantum wells,
each has 8 pairs of (GaAs)2/(InAs)2
short period superlattice layers with a
total thickness of about 10 nm. The bar-
rier consists of a 7.5 nm
A0.24Ga0.24In0.52As layer. The strain-
induced lateral ordering process occurs
within the active region and strong in- Fig. 2.1. 5: (a) Schematic illustration of a V-groove quantum wire laser.
(b) A cross-sectional TEM image of three V-groove quantum wires. source:
plane Ga/In lateral composition modula- [Mao, 2004]
tion is spontaneously formed in the
[110] direction. The sandwiched In-rich regions by the higher band-gap Ga-rich regions along the
[110] direction combined with the barriers on top and bottom form an array of a quantum wire het-
erostructure which provides lasing at 300 K under pulsed excitation.
Besides Λ-ridge - and T-intersection quantum wire lasers, V-groove quantum wire lasers are an exam-
ple for lasers from selective grown quantum wires. Since the first observation of stimulated emission
in quantum wires, various types of semiconductor lasers based on V-groove quantum wires have been
achieved, including lasers based on a single V-groove quantum wire such as single wire InGaAsP/InP
lasers [Piester, 2000]. Low operation threshold at room temperature has also been achieved by improv-
ing optical gain and minimizing electrical and optical losses using, a V-groove quantum wire distrib-
uted feedback cavity structure [Kim, 2001]. Very recently e. g. Cade et al. fabricated modulation-
doped GaAs V-groove quantum wires with novel electrical contacts. [Cade, 2005]. Fig. 2.1. 7 shows
a schematic structure of a MOCVD grown V-groove quantum wire laser [Kim, 2000] with a SiO2 cur-
rent blocking configuration. The V-grooves aligned along the [01-1] direction with a period of 4 µm
were formed on a (001) GaAs substrate by wet chemical etching. The laser structure consists of a n-
type GaAs buffer layer (0.3 µm), a n-type Al0.5Ga0.5As lower cladding layer (1 µm), an undoped
Al0.2Ga0.8As guiding layer (0.2 μm), three GaAs quantum wires (8 nm) separated by two undoped
Al0.2Ga0.8As barrier layers (25 nm), an undoped Al0.2Ga0.8As guiding layer (0.2 µm), a p-type
Al0.5Ga0.5As upper cladding layer (1 µm), and a p-type GaAs contact layer (0.2 µm). After the growth,
the sidewall and the top of the quantum wire laser structure were etched out in order to improve the

30/11/2005 Dissemination level : Public Page 139/273


IST-017255 MONA Deliverable D1.1 (M4)

carrier-injection efficiency. A 100 nm SiO2 film was deposited using ion-beam sputtering on the
etched surface for current confinement, leaving a 0.5 µm wide opening for current feeding.
Room temperature lasing action from chemically synthesized nanoscale ZnO quantum wires
(nanowires) was recently demonstrated in 2001 [Huang, 2001]. The ZnO nanowires were grown in a
vapor-liquid-solid process via catalyzed epitaxial crystal growth. Using Au as the catalysts, selective
ZnO nanowire growth was achieved by patterning a thin Au film on a single crystal sapphire substrate
(Fig. 2.1. 7). The figure shows a typical SEM image of ZnO nanowire arrays grown on a sapphire
(110) substrate. The majority of nanowires have diameters between 70 and 100 nm, and lengths of
about 5 µm. Nearly all of the ZnO nanowires grow vertically from the substrate. The observation of
lasing action in these nanowire arrays without any fabricated mirror indicates that the crystalline well-
faceted nanowires can act as natural optical cavities. For ZnO nanowires grown on a sapphire sub-
strate, one end of the nanowire is the epitaxial interface between the sapphire and ZnO, whereas the
other end is the crystalline ZnO plane exposed in air. The spacing between individual longitudinal
modes is about 5 nm, consistent with the cavity length of approximately 5 µm. Although nanowires
acting as natural optical cavities is
promising, chemically synthesized
quantum wire lasers so far are restricted
to optical instead of electric excitation.
While quantum well lasers are commer-
cially mature, semiconductor lasers
based on one-dimensional (and zero-
dimensional) nanoscale materials are Fig. 2.1. 7: (a) Schematic illustration of nanowire nanolasers grown on a
sapphire substrate. (b) A SEM image of ZnO nanowire arrays. source:
still in their development stage. [Mao, 2004]

30/11/2005 Dissemination level : Public Page 140/273


IST-017255 MONA Deliverable D1.1 (M4)

4.2.1.4 Summarizing Table: new approaches in laser technology

Device Nano- Nanostruc- Development Remarks Chapter


Compo- turing State Issues
nent Technology
Quantum well quantum MBE; fully commercial ongoing further de- 4.2.1.1
laser wells MOCVD velopments
Quantum quantum MBE partially “commer- 4.2.1.4
cascade la- wells cial”
ser
Quantum dot quantum self-organized research prototypes - room temperature 4.2.1.2
laser dots growth getting closer to operation; dot diame-
commercialisation ters: to <5 -20 nm
(pyramidal shape)
colloidal syn- research prototypes only optical excita- 4.1.2.1
thesis tion; highest accuracy 4.2.1.2
and lowest cost in dot
production
Quantum quantum nanoscale research prototypes wire diameter: 43 nm 4.2.1.3
wire laser wires lithography
self- research prototypes pulsed lasing at 300 4.2.1.3
organisation K
selective research prototypes room temperature 4.2.1.3
growth operation
wire diameter: 43 nm
chemical research prototypes only optical excita- 4.2.1.3
synthesis tion; room tempera-
ture operation
wire diameter: 70-
100 nm
wire length: 5 µm
Cascade sur- quantum MBE; research prototypes surface emitting 4.2.1.5
face-emitting wells, lithography QCL,
photonic photonic miniaturization
crystal laser crystals

[Akiyama, 2004] Akiyama et al, An Ultrawide-Band (120 nm) Semiconductor Optical Amplifier Having an Extremely-High Penalty-Free
Output Power of 23 dBm Realized with Quantum-Dot Active layers, post-deadline paper, OFC 2004, Anaheim, Kalifornien, 22. - 27. Feb.
2004.

[Arakawa, 1982] Arakawa Y., Sakaki H., Appl. Phys. Lett. 40, 939, 1982.

[Asada, 1986] Asada M., Miyamoto Y., Suematsu Y., IEEE J. Quantum Electron. QE-22, 1915, 1986.
[Bhattacharya, 2004] P. Bhattacharya, S. Ghosh, A.D. Stiff-Roberts, QUANTUM DOT OPTO-ELECTRONIC DEVICES, Annual Review of
Materials Research 34, p. 1-40, August 2004.
[Bell, 2000] Bell laboratories; Physical science research, 2000. http://www.bell-labs.com/org/physicalsciences/projects/qcl/qcl.html

[Bimberg, 2005] Dieter Bimberg 2005 J. Phys. D: Appl. Phys. 38 2055-2058

[Cade, 2005] Cade N. I. et al., Longitudinal photocurrent spectroscopy of a single GaAs/AlGaAs v-groove quantum wire, Nanotechnology
16, 307–311, 2005.

[Chen, 2002] Chen J. X., et al., Tuning InAs/GaAs quantum dot properties under Stranski-Krastanov growth mode for 1.3 um applications, J.
Appl. Phys. Vol. 91, 6710, 2002.

[Colombelli, 2003] Colombelli R. et al., Quantum cascade surface-emitting photonic crystal laser, Science, v302 (5649), pp. 1374-1377,
Nov. 21, 2003.

[CQD, 2005] http://cqd.ece.northwestern.edu/research/qdots.pdf. , downloaded in Nov. 2005.

[Dingle, 1976] Dingle, R., and C.H. Henry. Quantum effects in heterostructure lasers. U.S. Patent 3982207, Sept. 21, 1976.

30/11/2005 Dissemination level : Public Page 141/273


IST-017255 MONA Deliverable D1.1 (M4)

[Eisler, 2002] Eisler et al., Applied Physics Letters, 17 June 2002

[Holonyak, 2005 ] Holonyak N., University of Illinois at Urbana-Champaign (UIUC), 2005.


http://compoundsemiconductor.net/articles/news/9/10/5

[Huang, 2001] Huang, M., Mao, S.S., Feick, H., Yan, H., Wu, Y., Kind, H., Weber, E.R., Russo, R.E., Yang, P., Science, Vol. 292, p.1897,
2001.

[Ishida, 2004] M. Ishida et al.: Conference on Lasers & Electro-optics 2004 (CLEO2004), CThB1, San Francisco, USA, 2004.
[Kim, 2000] Kim, T.G., Wang, X.-L., Suzuki, Y., Komori, K., Ogura, M., IEEE J. Sel. Top. Quantum Electron., Vol. 6, p.511, 2000.

[Kim, 2001] Kim, T.G., Son, C.S., Ogura, M., IEEE Photon. Tech. Lett., Vol. 13, p.409, 2001.

[Kapon, 1989] Kapon, E., Hwang, D.M., Bhat, R. Phys. Rev. Lett., Vol. 63, p.430, 1989.

[Klimov, 2000] Klimov V. I. et al., Optical Gain and Stimulated Emission in Nanocrystal Quantum Dots, Science, Vol. 314, 290, 13 Oct.
2000.

[Ledentsov, 2004] Ledentsov N., Nanosemiconductor GmbH, Dortmund, Germany, 2004.


http://www.compoundsemiconductor.net/articles/magazine/10/4/3/1

[Liu, 2003] H.C. Liu, Quantum dot infrared photodetector, Opto-Electronics Review 11 (1), 1-5 (2003).
[Mao, 2004] Mao, S.S. Nanolasers: Lasing from nanoscale quantum wires., International Journal of

Nanotechnology, Vol. 1, Nos. 1/2, pp.42.85, 2004.

[Nunoya, 2000] Nunoya, N., Yasumoto, H., Midorikawa, H., Tamura, S., Arai, S., Japan. J. Appl. Phys., Vol. 39, p.L1042, 2000.

[Piester, 2000] Piester, D., Bönsch, P., Schrimpf, T., Wehmann, H.-H., Schlachetzki, A., IEEE J. Sel. Top. Quantum Electron., Vol. 6, p.522,
2000.

[Tredicucci, 2003] Tredicucci A., Marriage of Two Device Concepts, Science, Vol. 302, 1346-1347, 21 Nov. 2003
[Wagner, 1964] Wagner, R.S., Ellis, W.C., Appl. Phys. Lett., Vol. 4, p.89, 1964.

[Wohlert, 2001] Wohlert, D.E., Cheng, K.Y., Chou, S.T., Appl. Phys. Lett., Vol. 78, p.1047. 2001.

[WSI, 2004] Technische Universität München, Walter Schottky Institute, 2004. http://www.wsi.tu-muenchen.de/E26/en/research/qcl/

[Zichuan, 2005] Zichuan N. et al., National Laboratory for Superlattice and Microstructure China, 2005.

30/11/2005 Dissemination level : Public Page 142/273


IST-017255 MONA Deliverable D1.1 (M4)

4.2.2 LEDs

The emission of light using


LEDs is based on a funda-
mentally different-principle
compared to incandescent or
discharge lamps. LEDs are
semiconductors that consist of
similar materials with slightly
different electronic-properties
that are brought together to
create a “p-n junction”. In a p-
n junction, the “p” material
contains-an excess of positive
charges, i.e. “holes” due to the
absence of electrons. The “n”
material-contains an excess of
negative charges, i.e. elec-
trons. Applying a voltage to
this-p-n junction, the electrons
and the holes combine, releas-
ing energy that can take the
form of light. LEDs emit col-
ored light in a narrow wave-
Fig. 2.2. 1 Different approaches to produce white light using multichromatic approaches based
length band. Therefore, LEDs on LEDs (left) and based on LEDs in conjunction with (multi)phosphors (source [Schubert,
require multiple elements to
produce “white” light for general illumination applications. This leads to 3-color or 4-color devices or
some form of broadband conversion, e. g.,-near-UV or blue emitting LEDs in conjunction with phos-
phors. Different LED-based and LED-plus-phosphor–based approaches for white light sources exist,
implemented as di-, tri-, and tetrachromatic sources are illustrated in Fig. 2.2. 1 [Gessmann, 2004],
[Schubert, 2005].

4.2.2.1 Red,orange, yellow, and yellow-green emitting LEDs:

The following paragraph, dealing with improvements on red, orange, yellow, and yellow-green emit-
ting LEDs, which are based on AlGaInP, has been largely adopted from reference [Gessmann, 2004]:
“AlGaInP lattice matched to GaAs is suited for LEDs operating in the red, orange, yellow and yellow-
green wavelength range. These devices will play a key role in solid-state lighting applications. One of
the most important requirements for use of LEDs in solid-state lighting is the attainment of large light
extraction efficiencies. The major classes of AlGaInP device structures include absorbing-substrate
(AS) LEDs, (AS) LEDs enhanced by distributed-Bragg-reflectors (DBRs), transparent substrate (TS)
LEDs, thin-film (TF) LEDs, and LEDs using omnidirectional reflectors (ODRs). Some of these device
structures have well-known deficiencies: A significant fraction of light is absorbed in the GaAs sub-
strate in AS-LEDs; DBRs are essentially transparent at oblique angles of incidence leading to substan-
tial optical losses. More recent developments such as TS-LEDs and TF-LEDs avoid these drawbacks.
High-reflectivity, electrically conductive ODRs were recently developed that considerably outperform

30/11/2005 Dissemination level : Public Page 143/273


IST-017255 MONA Deliverable D1.1 (M4)

conventional distributed Bragg reflectors. LEDs using such conductive ODRs can replace DBRs in
AlGaInP LEDs and are potential candidates for low-cost high-efficiency LEDs suitable for high-power
solid-state lighting applications. These structures overcome the inherent light loss due to the absorbing
GaAs substrate in standard AlGaInP LEDs by either replacing GaAs with a transparent GaP carrier or
by covering it with reflectors. TS LEDs have attained record external quantum efficiencies of 55%
exceeding the efficiency of AS LEDs by a factor of 10. However, their cost of manufacturing is high
due to the employment of GaP substrates, a critical wafer-bonding process, and a thick GaP window
layer. LEDs employing a DBR inserted between the GaAs substrate and the lower window layer are
widely used types of reflector-enhanced devices. These DBR-LEDs offer higher light extraction effi-
ciencies than AS-LEDs, yet they are plagued by the fact that the good reflective properties of DBRs
are limited to vertically incident light. These drawbacks are avoided by TS-LEDs and TF-LEDs,
which utilize metal-to-metal wafer fusion techniques and attained large quantum efficiencies as high
as 40%. The recently developed high-reflectivity, electrically conductive ODRs are able to considera-
bly outperform conventional DBR’s. The ODR can be integrated as a p-type contact into an AlGaInP-
based LED emitting at 650 nm. After removal of the original GaAs substrate using mechanical polish-
ing and wet-chemical etching, the ODR LED is mounted on a conductive Si with silver-loaded epoxy.
The ODR-LED has been compared to an AlGaInP-based LED with a conductive DBR covering the
GaAs substrate. From measurements of the optical output power versus forward current external quan-
tum efficiencies of about 18% and 11% have been obtained for ODR- and AS-LED, respectively. In
addition, the Si substrate has superior heat conductivity compared to GaAs and GaP substrates and
allows operating the device at potentially high current density and light output levels. Different ge-
neric methods can be utilized to increase light extraction of all the device structures mentioned above.
As examples, techniques to pattern LED surfaces with random or regular structures in particular with
photonic crystals have been presented. Shaping of the entire chip has proven extremely beneficial in
the case of TS-LEDs and enabled the record efficiencies obtained by these devices. AlGaInP LEDs are
extremely promising devices for high-power solid-state lighting applications. In particular, high-
brightness red LEDs using ODRs can be employed in high-efficiency trichromatic and tetrachromatic
white light sources. This will enable production of white light with high color rendering indexes in
future solid-state lighting applications” [Gessmann, 2004].

4.2.2.2 Blue, green, UV emitting LEDs:


Gallium nitride (GaN), InN and AIN as well as their ternary compounds have gained an unprecedented
attention due to their wide-ranging applications in LEDs as green, blue, violet, and ultraviolet (UV)
emitters. However, even GaN, the most promising material of the three binaries contains many struc-
tural and point defects caused to a large extent by lattice and stacking mismatch with substrates. These
defects notably affect the electrical and optical properties of the host material and can seriously de-
grade the performance and reliability of devices made based on these nitride semiconductors. The
growth of bulk GaN or AlN substrates is the development state, with only-preliminary results and
limited success to this point. Bulk GaN substrates are intrinsically difficult-to grow because nitrogen
has a high vapor pressure at the melting point of GaN. These crystals are only-currently available for
basic physics and demonstration
Current commercially available high-performance LEDs are manufactured predominantly on sapphire
or-silicon-carbide substrates.

4.2.2.3 White LEDs:


Until 1993 LEDs could only produce red, green and yellow light. But then Nichia Chemical of Japan
figured out how to produce blue LEDs. By combining blue LEDs with red and green LEDs – or add-

30/11/2005 Dissemination level : Public Page 144/273


IST-017255 MONA Deliverable D1.1 (M4)

ing a yellow phosphor to blue LEDs manufacturers were able create white light, which opened up a
number of new applications. However, these LEDs tend to produce white light with a cool, bluish
tinge.
Whereas incandescent light bulbs suffer from inefficiency and waste of power, traditional LEDs only
offer a fixed set of emission wavelengths. In order to produce white light either a specific superposi-
tion of red, green and blue light is required, or a blue LED in conjunction with a yellow phosphor.
Therefore the LED´s surfaces are commonly coated with different phosphors to convert the wave-
length of the emitted photons into more suitable wavelengths. The conversion itself results from an
absorption/re-emission process of the phosphors selected due to their properties to absorb the LED´s
light and to re-emit photons with the wavelength of choice. However, there is a number of drawbacks
related to white light emission by LEDs when using phosphor coatings. The main issues are i) the
untunable light emission, as each phosphor material comes with its narrow and well defined spectral
range of absorption and emission, whereas a broader absorption range would be desirable; ii) a rather
costly fabrication process of coating or doping phosphors onto the surface of an LED; iii) for the case
of multi-phosphor base LEDs, the difficulty of simultaneously mixing red, green and blue phosphors
closely enough for the superposition effect. Hence, white light generated by LEDs is often of low
quality and not suitable for application.
Solid state lighting (SSL) sources used for general illumination applications today are almost exclu-
sively designed around near UV or blue emitting LEDs. These sources capture a portion of the mono-
chromatic emissions with a yellow phosphor, such as Caesium-doped yttriumaluminum-garnet (YAG),
which, in turn, converts some of the pump light into a broader spectrum whose combined emission
approximates white light with good color quality. The color rendering index for this yellow phosphor
is low (60-70), but can be enhanced to >80 by adding a small amount of red phosphor [Mills, 2005].
However, phosphor-based white light sources suffer from an unavoidable Stokes energy loss due to
the conversion of short-wavelength photons to long wavelength photons. This energy loss can reduce
by 10 to 30% the overall efficiency of systems based on phosphors optically excited by LEDs. Such
loss is not incurred by white light sources based exclusively on semiconductor LEDs. Furthermore,
phosphor-based sources do not allow for the extensive tunability afforded by LED-based sources, par-
ticularly in terms of spectral composition. On the other hand emission power, peak wavelength, and
spectral with of inorganic LEDs decrease exponentially with temperature. In contrast, UV pumped
white phosphor sources exhibit good temperature stability, basically because the intra-rare earth
atomic transitions do not depend on temperature.
Many of the phosphors currently used are reasonably efficient and more efficient phosphors and/or
luminescent materials are being developed, in order to provide better device efficiencies. Areas of
interest include multi-photon processes, which can produce quantum yields in excess of unity, even for
relatively low energy excitations such as 380 nm. Therefore suitable hosts and materials systems have
to be developed. Furthermore down-conversion approaches to white light generation will be ad-
dressed, which will require the development of more efficient (>95%), stable (100,000 hrs), high-
temperature (>150 degrees C), environmentally friendly phosphors with no dissipative optical absorp-
tion or scattering. Novel approaches for the synthesis and processing of novel conversion materials are
needed, including nanocrystalline semiconductors, photonic lattices, quantum dots, organic coordina-
tion-compound phosphors, phosphor blends or slurries, and coated phosphors. Finally encapsulation
materials are needed for high-drive, high-lumen output LED devices. Future encapsulation materials
for high-power products will need to have an index greater than 1.6, high transmission (>80%)
through thick layers throughout the visible spectrum (440-650 nm), UV filtering and resistance, low

30/11/2005 Dissemination level : Public Page 145/273


IST-017255 MONA Deliverable D1.1 (M4)

H2O permeability for up to 100,000 hours, and the capability to withstand high processing and opera-
tion temperatures (100-150 C).
Research efforts in LED light source technology focus on
• reducing defect density by improving buffers and substrates,
• improving phosphors,
• creating high lumen packages,
• improving optical design
• reducing the cost to make LEDs competitive with conventional light sources.
Several of the LED technology options are in the applied research stage of technology maturity.
In addition there is an increasing interest in Organic LEDs (OLEDs), since low-cost manufacturing
techniques may be used for their volume production. However devices based on organic materials are
still in the development state and several issues have to be solved.
The OLED technology focus on:
• improving the operating life by gaining a better understanding of its physics and degradation
processes,
• improving internal and external quantum efficiencies, and
• reducing the cost to make OLEDs competitive with conventional light sources.
The Department of Energy in the US grants several projects in order to systematically address current
issues in solid state lighting (SSL) products. These projects address material and process-technological
aspects in order to improve the efficiency of photonic devices, such as LEDs and OLEDs. The follow-
ing topics have been identified and addressed in various projects [DOE_SSL].
The focus of the related research programs lies in the development of III-V materials epitaxially grown
on sapphire (Al2O3) or silicon carbide (SiC) substrates for high brightness (HB) LEDs, which are
aimed to ultimately allow the design of devices that exceed existing performance limits of about 100
lumens per watt in packages exceeding 5 Watts of input power.
• High Efficiency Semiconductor Materials for LEDs emitting light in the visible and near UV
range (>380 nm) -— Significant advances in the basic materials technology associated with visi-
ble and near UV LEDs will be needed to produce white-light-emitting devices with performance
that exceeds 50 to 80 lm/W, available in present day devices. Current III-nitride compound semi-
conductors still do not provide the necessary light production efficiency. In addition present fab-
rication technologies are based on costly and complex epitaxial growth techniques. It is aimed to
fabricate devices capable of producing full spectrum, white light at >100 lm/W, e.g. by improving
the p-doping efficiency, by developing novel charge introduction structures and novel growth
techniques, including lateral epitaxy overgrowth. Methods to reduce defects, dislocations, and
other crystalline artefacts have to be developed.
The investigation of GaN templates with low dislocation densities for LEDs led to the develop-
ment of an improved growth process, dubbed Cantilever Epitaxy, that reduces defects by a factor
of 100-1000:

Cantilever Epitaxy:
Cantilever Epitaxy is an MOCVD-based process developed at Sandia Laboratories that provides a
significant reduction in threading dislocation density at the GaN epitaxial surface. The method re-

30/11/2005 Dissemination level : Public Page 146/273


IST-017255 MONA Deliverable D1.1 (M4)

lies on sapphire substrate patterning and the choice of GaN growth conditions to force the hori-
zontal bending of vertical threading dislocations, which can then annihilate upon coalescence, all
in a single growth step. The resulting overall dislocation density is in the low 107 cm-2 regime
(and ~ 106 cm-2 or less in the cantilever "wing" region). Whereas conventional MOCVD causes
threading dislocation densities in the low 109 cm-2 regime.
A new infrared pyrometer was developed by Lumileds for in situ measurement of the wafer tem-
perature, which is a critical parameter for controlling InN mole fraction in InGaN quantum wells.
Using these new pyrometry tools, Lumileds was able to demonstrate a factor of five increase in
improved color targeting for green (~540 nm) LEDs over multiple growth runs.

Advanced Materials for Thermal Management in III-Nitride LEDs –


III-V materials systems used in high brightness (HB) LEDs, already possess good internal quan-
tum efficiency at the die level. However, at the chip level their luminous output is limited, due to
poor thermal conduction. Materials systems have to be developed that allow for increased thermal
conduction of heat. The latter being produced in the die has to be conducted through the chip and
ultimately, to the environment. Examples of such advanced materials technology include metal
oxides or conductive structures impregnated into conventional chip substrates, which do not re-
quire a substantial change to the complex epitaxial growth chemistry used to commercially pro-
duce these devices.

In situ tools for improved control during growth process


Lumileds developed in situ tools investigate the growth environment during GaN or AlN
MOCVD processes and to be able to analyze film properties during growth. At Lumileds, a multi-
beam optical stress sensor (MOSS) tool was installed on an R&D reactor, and used to show that
Si concentration and AlN mole fraction can be determined in situ by monitoring the strain state of
the epitaxial film. In addition, an ultraviolet-based pyrometer was developed at Sandia and trans-
ferred to Lumileds for implementation on an R&D reactor. This tool was used in conjunction with
a new infrared pyrometer (developed by Lumileds) for in situ measurement of the wafer tempera-
ture, which is a critical parameter for controlling InN mole fraction in InGaN quantum wells. Us-
ing these new pyrometry tools, Lumileds was able to demonstrate a factor of five increase in im-
proved color targeting for green (~540 nm) LEDs over multiple growth runs

• Novel phosphors for UV or Blue LEDs


Novel phosphors are required for wavelength converters for LEDs that absorb highly energetic,
monochromatic photons (e.g., near UV or blue) and emit photons with a lower energy but with a
broader spectrum of color approaching that of white light. Recent approaches include complex
nanocrystalline devices that use a combination of crystal size and photonic interactions to poten-
tially alter the emissive properties of a semiconductor.
Semiconductor nanoparticles, or "quantum dots," offer potential advantages over conventional
phosphors as luminescent down-converting materials. For example, the emission spectra of quan-
tum dots can be "tuned" by controlling the particle size distribution and/or surface chemistry,
unlike phosphors where the emission spectra is largely fixed by nature. Initially it was found that
the quantum efficiencies of state-of-the-art semiconductor nanoparticles were far behind that of
phosphors. Work at Sandia focused then on improved synthesis processes for CdS quantum dots,
which ultimately resulted in a quantum efficiency of 76% (in solution) for a blue emission. Fur-
thermore, a process for encapsulating the nanoparticles was developed at Sandia and resulted in
CdS quantum dots being successfully incorporated into epoxy, a first step towards applying them

30/11/2005 Dissemination level : Public Page 147/273


IST-017255 MONA Deliverable D1.1 (M4)

directly in LEDs.

• High Efficiency Materials for OLEDs-


OLEDs are typically associated with display applications. In order to serve as viable alternatives
to conventional luminous sources, including inorganic LEDs, OLEDs must meet different price
and performance levels. Current OLED materials lack the color gamut, efficiency, or lifetime to
compete with currently available devices. Estimates of lifetime and efficacies necessary for
OLED-based general illumination are in excess of 50,000 hours and 100 lumens per watt (lm/W),
where white OLEDs (at 850 cd/m2) presently have a lifetime and efficiency of approximately
only 1000 hours and 15 lm/W. Therefore new materials and systems with improved efficiency
and stability, must be developed.
Under laboratory conditions monochromatic OLED systems have already achieved luminous ef-
ficiencies > 100 lm/W with external quantum efficiencies greater than 20%. However, OLED
lifetime and performance are still an issue. Research fields of special interest include the devel-
opment - of monomer structures that produce broadly emissive white light at efficacies approach-
ing 100 lm/W,
- of novel techniques, including theory, for improving the device extraction efficiency
- of transparent conductive oxides coatings with improved optical and electrical properties in
comparison to indium tin oxide.

In conventional fluorescent OLEDs, only a small fraction of the generated excitons are in the
singlet state, with the majority in the triplet state. In these fluorescent OLEDs, light emission oc-
curs as a result of the radiative decay of singlet excitons, and the internal quantum efficiency is
limited to approximately 25%.
Universal Display Corporation (UDC) and its partners have developed an innovative, highly effi-
cient device architecture employing phosphorescent dopants. Phosphorescent dopants contain a
heavy metal atom that facilitates the mixing of singlet and triplet states, allowing singlet to triplet
energy transfer through intersystem crossing. This leads to highly efficient devices where 100%
of the excitons can potentially produce optical emission, in contrast to only approximately 25% in
conventional fluorescent devices. Phosphorescence is widely recognized as an enabling technol-
ogy for OLEDs to have the required efficiency (>100 lumens per watt) and to become a low cost
solution for the next generation of solid-state lighting. The high conductivity hole and electron
transport system is achieved by selecting p- and n-type dopants along with appropriate organic
buffer layers, resulting in a p-i-n type device. UDC and its research partners at Princeton Univer-
sity and the University of Southern California have succeeded in developing a white phosphores-
cent OLED (PHOLED™) that achieved a record efficiency of 20 lumens per watt.

4.2.2.4 White LEDs based on quantum dots:


One approach of circumventing the limitations of conventional phosphor-based LEDs, is to use quan-
tum dots as light emitters. Their key properties, the wide absorption range and the size dependent, i.e.
adjustable, emission color enables one to use them as new tunable phosphors. As they can be fabri-
cated in a wide range of forms and media they are suitable to get integrated into various mediums
needed to accommodate the underlying LED. Homogeneously intermixing quantum dots of different
colloidal production batches, i.e. different size, finally results in a phosphor material for LED coating
that promises high quality white light production at low cost compared to state-of-the-art semiconduc-
tor systems [Evi, 2005].

30/11/2005 Dissemination level : Public Page 148/273


IST-017255 MONA Deliverable D1.1 (M4)

In 2003 researchers at Sandia National Laboratories have fabricated what is claimed to be the first
white LED using quantum dots in place of a conventional phosphor. The quantum dot material they
used consisted of CdS nanoparticles embedded in an epoxy or silicone encapsulant. The material was
pumped by a near-ultra-violet LED and emits light across the visible wavelength. The nanometer-size
quantum dots are synthesized in a solvent containing soap-like molecules called surfactants serving as
stabilizers. During encapsulation, the dots are attached to the “backbone” of the encapsulating poly-
mer. This prevents the dots from agglomerating, which would cause them to lose their light-emitting
properties. The result is an increase in efficiency from 10-20% to 60%. [Physlink, 2003]
Recently M. Bowers et. al. succeeded in producing a white LED based on quantum dots which spon-
taneously emit white light, which produces a smoother broadband distribution (420-710 nm) of wave-
lengths in the visible spectrum than common white LEDs. As a result, the light with a slightly yellow
tint produced by the quantum dots is closer to that of sunlight than normal fluorescent tubes or light
bulbs. Unlike the light bulb, conventional and quantum dot based white LEDs do not emit large
amounts of invisible infrared radiation, which produces large amounts of heat and largely accounts for
the light bulb's low energy efficiency.
Upon reducing the size of CdSe quantum dots, Bowers found out that those crystals containing either
33 or 34 pairs of atoms preferentially form. As a result, it is relatively easy to produce the magic-sized
quantum dots in spite of their small size (less than half the size of normal quantum dots). Upon illumi-
nation with laser light, the magic sized quantum dots emit white light. “Normal sized” nanocrystals,
produce light in narrow spectral bands, the light originates in the center of the crystal. But, as the size
of the crystal shrinks down to the magic size, the light emission region appears to move to the surface
of the crystal yielding a full spectrum.
Pyrolytical synthesis, the method to produce these quantum dots, used by Bowers et al. takes less than
an hour. Demonstrating that the magic-sized quantum dots could be used to produce white light
sources, Bowers mixed the magic-sized quantum dots with polyurethane and used the quantum dot
paste to coat an LED, which then emitted white light. Furthermore quantum dot based pastes are easier
to integrate to "electroluminescent device", since they can be used with a wider selection of binding
compounds without affecting their emissions characteristics.
The next step for the research team is to electrically stimulate the magic-sized nanocrystals to produce
white light. In contrast to LEDs which are manufactured using semiconductor manufacturing tech-
niques developed in the last 50 years, the production processes of LEDs based on these quantum dots
would rely on chemical processes [Bowers, 2005].

4.2.2.5 LEDs based on nanotubes


An IBM-research team of Watson Research Center in
Yorktown Heights used carbon nanotubes in order to
produce tiny IR-LEDs, which may be used in optoelec-
tronic devices. The researchers built FETs (field effect
transistors) by depositing single walled carbon nano-
tubes (SWNTs) on SiO2. The ends of the SWNTs were
coated with a metallic contact material. If a voltage is
applied, so, that the electric field between source and Fig. 2.2. 2 Schematic illustration of a field effect
gate is opposed to the field between drain and gate, elec- transistor with single walled carbon nanotube
(source: [Misewich, 2003]).
trons (source electrode) and holes (from drain electrode)
can be pumped simultaneously into the nanotubes. Recombination of the opposite charge carriers oc-
curs inside the undoped nanotube and polarized IR light was emitted. Because the band gap in carbon
nanotubes is inversely proportional to the tube diameter, the wavelength of the light depends upon the

30/11/2005 Dissemination level : Public Page 149/273


IST-017255 MONA Deliverable D1.1 (M4)

diameter of the nanotubes. For a diameter of 1.4 nm the wavelength of the emitted light is in the IR
spectral range, which is particularly interesting for telecommunication industry.
Fabrication started by randomly dispersing carbon nanotubes of 1.4 nm in diameter from solution onto
a p- silicon substrate with a 150 nm silicon dioxide layer. Source and drain contacts were fabricated by
means of lithography and lift-off techniques. A 50 nm thick titanium evaporated film was used to
make the source and drain contacts, and the devices were then annealed in argon at a temperature of
850°C. This process has been shown to lead to abrupt junctions with titanium carbide contacts. The
devices were then capped with a 10 nm silicon dioxide layer deposited at room temperature and densi-
fied by first annealing at 400°C for 30 min in forming gas and subsequently annealing at 700°C for 2
min in argon [Misewich, 2003].

4.2.2.6 LEDs based on nanowires:


Lieber et al. demonstrated the assembly of a wide range of efficient direct-gap III–V and II–VI
nanowires with silicon nanowire and planar silicon struc-
tures to produce multicolor, electrically driven nanophotonic
and integrated nanoelectronic photonic systems. The
nanoLEDs emission spectrum spans a color range from the
ultraviolet to near-infrared region of the electromagnetic
spectrum. The nanoLEDs were prepared upon sequential
deposition of p-type and n-type nanowire materials using a
solution-based approach in which emissive electron-doped
semiconductor nanowires were assembled with non-emissive
hole-doped silicon nanowires in a crossed nanowire architec-
ture. The cross points are electrically addressable. Single-
and multicolor nanoLED devices and arrays were fabricated
with colors specified in a predictable way by the bandgaps of Fig. 2.2. 3 Schematic of the assembly of
crossed NW heterojunctions. Top left: a paral-
the III–V and II–VI nanowire building blocks. The re- lel array of nanowires (A) is aligned on the
substrate using a fluidic assembly method:
searchers claim that features of this type of nanoLEDs in- subsequently a second parallel array of
clude that the emitted colors are limited only by the avail- nanowires (B) is deposited orthogonally to
achieve a matix with crossed nanowires; bot-
able direct-gap material the nanoscaled active device area tom left: schematics showing a nanoLED
structure formed between a p-Si nanowire and
enables point light sources the design of crossed nanowirea a n-type emissive nanowire and its correspond-
enables the formation of single and multicolor arrays and ing band diagram. bottom right: the table lists
bandgaps of different materials (at 300 K) used
integration of photonic and nanoelectronic elements the in the study (source: [Lieber, 2005]).
nanophotonic devices can be assembled on both rigid and
flexible substrates. The nanowire building blocks used in
their studies, including direct bandgap III–V (e.g., GaN and InP) and II–VI (e.g., CdS and CdSe) ma-
terials, were prepared as single crystals by metal-nanocluster-catalyzed growth [Gudiksen, 2002] [Lie-
ber, 2005].

4.2.2.7 LEDs based on nanorods:


Kim et al. demonstrated the realization of the high-brightness and high-efficiency light emitting diodes
(LEDs) using arrays of dislocation-free indium gallium nitride (InGaN)/gallium nitride (GaN) multi-
quantum-well (MQW) nanorods consisting of six periods of In0.25Ga0.75N/GaN (4.8 nm/12 nm) on
n-type GaN nanorods.
The devices have been fabricated by metal organic-hydride vapor phase epitaxy (MO - HVPE). The
nanorod arrays on sapphire substrates are buried in spin-on glass (SOG) to isolate individual nanorods
and to bring p-type nanorods in contact with p-type electrodes. The multi-quantum-well nanorod

30/11/2005 Dissemination level : Public Page 150/273


IST-017255 MONA Deliverable D1.1 (M4)

LEDs have similar electrical characteristics to conventional broad area LEDs fabricated using
MOCVD. However, due to the lack of dislocations and the large surface areas provided by the side-
walls of nanorods, both internal and extraction efficiencies are significantly enhanced. At 20 mA dc
current, the MQW LEDs emit
about 4.3 times more light than
the conventional broad area
LEDs, even though overall active
volume of the MQW LEDs is
much smaller than conventional
LEDs. Moreover, the fabrication
processes involved in producing
MQW LEDs are almost the same
for conventional broad area LEDs.
It is, thus, not surprising that the
total yield of these MQW NRA
Fig. 2.2. 4 Schematic diagram (left) and SEM image (right) of cross-sectional
LEDs is essentially the same as InGaN/ GaN MQW NRA LEDs (source [Kim, 2004]).
that of conventional BA LEDs.
Utilizing dislocation-free MQW NRA LEDs provides a means to improve the total external efficiency
and brightness of super-bright white LEDs and other semiconductor LEDs [Kim, 2004].

30/11/2005 Dissemination level : Public Page 151/273


IST-017255 MONA Deliverable D1.1 (M4)

4.2.2.8 Summarizing Table: new approaches in LED technology

Device Material Nanostruc- Development Remarks Chap-


Nano-Component turing State Issues ter
Technology
White LED blue/UV LED with Epitaxy State of the Art Solid State Lighting (SSL): 4.2.2.3
yellow phosphor Production - improving phosphors (NC,
QD etc.)
- encapsulation materials /
thermal management
- reduce defect density
Blue UV GaN, InN, AlN on MOCVD State of the Art - structural and point defects 4.2.2.2
Al2O3 or SiC Production are a problem due to lattice
mismatch with substrate
- bulk GaN substrates are
difficult to grow due to the
high vapor pressure of nitro-
gen at the melting point of
GaN
GaN on Al2O3 Cantilever development reduction of defects by a fac- 4.2.2.3
Epitaxy tor of 100-1000
based on
MOCVD
GaN on textured Hydride less costly than MOCVD
GaN vapor phase
epitaxy
Nanowire electron doped e.g. VLS research proto- -these nanoscale 4.2.2.6
LED semiconductor (Vapor- types devices are synthesized by 3.2.2
nanowires (GaN; Liquid- chemical means and subse-
CdS, CdSe, InP) / Solid) proc- quently integrated by assem-
hole doped silicon ess -> di- bly methods, rather than the
nanowires in rected self- established physical ones -> in
crossed nanowire assembly the long run may promise
architecture cheaper, faster, more versatile
fabrication of semiconductor
devices, arrays, and related
applications.

Nanorod InGaN/GaN multi MO- concept High brightness; high effi- 4.2.2.7
LED quantum well nano- Hydride ciency broad area LEDs
rod arrays VPE
PC-LED InGaP/InGaAs MBE research proto- Erchak et al. demonstrated 4.1.4
(PC types sixfold photoluminescence
DBR = 1D Photonic EBL+RIE) intensity increase utilizing a
crystal (PC) triangular lattice etched into
the upper window layer of an
InGaP/InGaAs LED emitting
at 935 nm.

QD- Nanocrystals / colloidal research/ devel- - cost-effective deposition, 4.2.2.4


Phosphor quantum dots to synthesis opment - more stable; higher spectral
LED replace the emitters purity, than organic materials;
or the phosphors - emission wavelength de-
CdSe, CdS, InP pends on size of nanocrystal
- CdSe (toxic) --> Focussing
on InP-based nanocrystals
- synthesis methods are scal-
able

30/11/2005 Dissemination level : Public Page 152/273


IST-017255 MONA Deliverable D1.1 (M4)

Magic sized 33-34 colloidal proof of concept - emission of white spectrum 4.2.2.4
atom pairs of CdSe synthesis from these nanoclusters
(1h) - electrical stimulation to be
shown
TiO2 nanocrystals sintering (?) A near-UV blocking layer
containing nanosized TiO2
reduces the amount of excita-
tion radiation emitted to 20 %
of the initial intensity.
Phosphor- InGaN on fluores- MBE + development White light emission via a
Free SSL cent ZnO MOCVD self-fluorescing mechanism in
the ZnO substrate (wafer
thickness of 300 microns)

- nanostructured - optical research proto- DOE research program (2003-


UV/blue GaN based confinement types 2006) to achieve >100 lm/W
materials structures - enhance stimulated and
-nano-optical reso- -advanced spontaneous emission
nator concepts for concepts of - enhance internal efficiency
planar resonant synthesizing - develop efficient mesoscopic
cavity LEDs quantum optical confinement structures
dots and in three dimensions
quantum
wires

phosphors with spray -based research proto- DOE research program


spherical morphol- techniques types - exploration of spray based
ogy aerosol techniques
processes

4.2.2.9 Summarizing Table: OLEDs

Device Material Nanostruc- Development Remarks Chap-


Nano-Component turing State Issues ter
Technology
OLEDs small molecules OVPD, production - typically 15 lm/W 4.2.2.3
OVJP (small area - under laboratory conditions 3.2.3.2
devices) monochromatic OLED sys-
tems with 100 lm/W have
been achieved
-1000 hours lifetime (blue
color)
Polymer polymers inkjet- -large area substrates 3.2.3.2
OLEDs printing; -flexible substrates
spin coating -cost effective production
technologies
-lifetime is an issue
-sensitivity to water and oxy-
gen
white phosphorescent -in conventional fluorescent 4.2.2.3
phospho- dopants (heavy OLEDs only a small fraction
rescent metal atoms) (about 25 %) of the generated
OLED excitons is in the singlet state,
responsible for the fluores-
cence
- dopants facilitates the mix-
ing of singlet and triplet states,
allowing singlet to triplet
energy transfer

30/11/2005 Dissemination level : Public Page 153/273


IST-017255 MONA Deliverable D1.1 (M4)

- > phosphorescence, leading


to highly efficient systems

-20 lm/W have been achieved


- goal: 100lm/W

[Bowers, 2005] M. Bowers et al., White-Light Emission from Magic-Sized Cadmium Selenide Nanocrystals,
J. Am. Chem. Soc., ASAP Article 10.1021/ja055470d S0002-7863(05)05470-3
Web Release Date: October 18, 2005
http://exploration.vanderbilt.edu/news/news_quantumdot_led.htm

[DOE_NETL] http://www.netl.doe.gov/ssl/project.html

[Evi, 2005] http://www.evidenttech.com/qdot-definition/quantum-dot-about.php

[Gessmann, 2004] Th. Gessmann and E.F. Schubert, High-efficiency AlGaInP light-emitting diodes for solid-state lighting applications, J.
Appl. Phys. 95, 2203 (2004).

[Gudiksen, 2002] M.S. Gudiksen et al.,Growth of nanowire superlattice structures for nanoscale photonics and electronics, NATURE 415,
617(2002)

[Kim, 2004] H.-M. Kim et al., High-Brightness Light Emitting Diodes Using Dislocation-Free Indium Gallium Nitride/Gallium Nitride
Multiquantum-Well Nanorod Arrays, Nanoletters 4, 1059 (2004).

[Lieber, 2005] C.M. Lieber et al., Nanowires for Integrated Multicolor Nanophotonics, SMALL 1, No 1, 147 (2005)**

[Mills, 2005] A. Mills, Phosphors developmetn for LED lighting, III-Vs REVIEW THE ADVANCED SEMICONDUCTOR MAGAZINE
VOL 18 - NO 3 - APRIL 2005.

[Misewich, 2003] J.A. Misewich et al., Electrically Induced Optical Emission from a Carbon Nanotube FET, Science Vol. 300, S. 783
(2003).

[Physlink, 2003] http://www.physlink.com/News/071403QuantumDotLED.cfm


http://www.compoundsemiconductor.net/articles/news/7/7/21/1

[Schubert, 2005] E.F. Schubert and J.K. Kim, Soled-State Light Sources Getting Smart, Science 3008, 1274 (2005).

[Svensson, 2005] C.P.T. Svensson, Epitaxially grown GaP/GaAs1−xPx/GaP double heterostructure nanowires for optical application,s
Nanotechnology 16 936–939 (2005).

30/11/2005 Dissemination level : Public Page 154/273


IST-017255 MONA Deliverable D1.1 (M4)

4.2.3 Sensors

A sensor is a physical device or biological organ that detects, or senses, a physical signal or a chemical
compound.
Most sensors are electric- or electronic based, although other types exist. Sensors are either directly
indicating or are paired with an indicator to make the sensed value human readable. Beside other ap-
plications, sensors are heavily used in medicine, industry and robotics. Technical progress allows more
and more sensors to be manufactured with “micro-electrochemical systems” (MEMS) technology. In
most cases this offers the potential to reach a much higher sensitivity.
Since a signal is a form of energy, sensors can be classified according to the type of energy they de-
tect:
• Thermal energy sensors
temperature sensors, heat sensors
• Electromagnetic sensors
electrical resistance sensors, electrical current sensors, electrical voltage sensors, electrical
power sensors, magnetism sensors
• Mechanical sensors
pressure sensors, gas and liquid flow sensors, position sensors
• Chemical sensors
• Optical and radiation sensors
electromagnetic time-of-flight: RADAR, LIDAR
light time-of-flight
• Ionising radiation
e. c. Geiger counter, scintillation counter
• Non ionising radiation
light sensors: (e. c. photocells, photodiodes, phototransistors, photo-electric tubes, CCDs,
Nichols radiometer, Image sensor), proximity sensors, interference sensors etc.
• Acoustic sensors

Good sensors apply to the following rules:


• sensors should be sensitive to the measured property
• sensors should be insensitive to any other property
• sensors should not influence the measured property
In the ideal situation, the output signal of a sensor is exactly proportional to the value of the measured
property. The “gain” is defined as the ratio between output signal and measured property.

30/11/2005 Dissemination level : Public Page 155/273


IST-017255 MONA Deliverable D1.1 (M4)

Opto-electronic sensing:
Materials that exhibit ultrafast response to the incoming light signal in the picosecond to nanosecond
time scale are of current interest in optical sensors, optoelectronics and nonlinear optics. This is due to
their potential applicability in optical sensing, ultrafast optical switching and passive optical device
applications. In material research large signal response to the incoming light is permanently demanded
for practical device applications. Significant progress could recently be achieved by both the develop-
ment of nanotechnology and material fabrication refinement. Materials such as VO2 showing ultrafast
response due to their ultrafast phase transition caused by laser excitation were identified a few years
ago [Cavalleri, 2001], [Petrov, 2002].
For potential ultrafast optical sensor application, thin films of nanocomposite crystal-Si enriched SiO2
grown on fused quartz substrates were recently prepared using pulsed laser deposition and RF co-
sputtering techniques [Liu, 2005]. In photoluminescence measurement the c-Si/SiO2 film containing
Si-nanocrystals exhibits strong red emission with the band maximum ranging from 580 to 750 nm.
With ultrashort pulsed laser excitation the film showed an extremely intense and ultrafast nonlinear
optical response.
As a traditional semiconductor the small band gap of crystalline silicon (c-Si) yields an extremely low
light emitting efficiency. In contrast, crystalline nanoparticle Si enriched SiO2 obtained by electro-
chemical etching or by sputtering deposition yields highly efficient photoluminescence and large
nonlinear optical response upon UV excitation. Therefore, this type of nano-composite material be-
comes attractive and shows up application potential for UV sensors [Liu, 2005]. The c-Si nanoparti-
cles are distributed in the SiO2 matrix with an average size of ~2.2 nm [Geohegan, 1998],
[Fonseca, 2000], [Fonseca, 2002]. The valence band to conduction band energy gap ~ 2.7 eV. c-Si
nanoparticles show extremely strong instantaneous response signal with the response time in the fem-
tosecond range, followed by a slow responding signal lasting for a few nanoseconds. In the case when
europium ions were incorporated into the c-Si nanoparticles embedded SiO2 thin film, the slow re-
sponse was altered into the into sub-nanosecond region. These observed properties give rise of this
material being applicable for optical sensing and ultrafast optical switching.

4.2.3.1 Polarization sensing based on quantum wires:


As outlined in chapter 4.1.2 on quantum confined materials, quantum wires represent a one-
dimensional electron gas (1DEG) for electrons in the conduction band and permit a free electron be-
haviour in only one spatial direction along the wire. Resulting from this, quantum wires establish a
strong constraint to the polarization direction of both absorption of incident light and luminescence.
The field intensity is strongly attenuated inside the nanowire in case of a non-parallel electric field.
According radiation is not able to permeate the quantum wire material.
Based on this property Wang et al. created polarization-sensitive nanoscale photodetectors that may be
applicable in integrated photonic circuits, optical switches and interconnects, near-field imaging, and
high-resolution detectors [Wang, 2001]. In the study the fundamental photoluminescence properties of
individual, isolated indium phosphide (InP) nanowires was characterized to define their potential for
optoelectronics. Polarization-sensitive measurements reveal a striking anisotropy in the photolumines-
cence intensity recorded parallel and perpendicular to the long axis of the nanowires. The large polari-
zation anisotropy may be quantitatively explained in terms of the large dielectric contrast between the
freestanding nanowires and the surrounding environment.

30/11/2005 Dissemination level : Public Page 156/273


IST-017255 MONA Deliverable D1.1 (M4)

Fig. 2.3. 1 (A) ) shows a scheme depicting the use of a


nanowire as a photodetector by measuring the change in
photoconductivity as a function of incident light inten-
sity and polarization. The inset gives a field-emission
scanning electron microscopy image of a 20-nm-
diameter nanowire and the contact electrodes for the
photoconductivity measurements. The scale bar is 2 mm.
The nanowires were first dispersed in ethanol and then
deposited onto silicon substrates (600 nm oxide, 1 to 10
ohm-cm resistivity). The electrical contacts to the wires
were defined using electron beam lithography, and
Ni/In/Au contact electrodes were thermally evaporated.
(B) shows the conductance, G, plotted versus the excita-
tion power density. The photoconductivity response is
shown when the illumination is polarized parallel (black)
and perpendicular (red) to the wire. The inset displays,
photoconductivity anisotropy, versus excitation power.
The measured anisotropy for the shown device is 0.96.
(C) shows the conductance versus polarization angle as
the polarization was manually rotated while measuring
the photoconductivity. An excitation wavelength of
514.5 nm was used for all measurements. Fig. 2.3. 1: Polarized photodetection using indi-
vidual InP nanowires (see text). source [Wang, 2001]

Nanowire photodetectors could be exploited as optically


gated switches, used to create high-density optical interconnects, and incorporated into photonic-based
circuits, where polarization detection could vastly increase the information bandwidth.

4.2.3.2 Quantum well infrared photodetectors:


Since long quantum well infrared photodetectors (QWIP) are used for IR imaging [Liu, 2000]. QWIPs
are using inter-sub-band transitions in the conduction band of quantum wells (e.g. GaAs/AlGaAs) and
allow the detection of photons in the 6 - 20 μm wavelength range. The heterostructures are usually
grown by molecular beam epitaxy. QWIP detectors could be used for the fabrication of long wave-
length infrared (LWIR) cameras in the 8 - 12 μm wavelength range (third atmospheric window) with
high thermal resolution [FHG, 1998].
Recently the performance of QWIPs has been optimized by fabrication of AlGaInAs or GaInAsP as
quantum wells or barrier material. In comparisons to the more mature GaAs/AlGaAs device designs,
the use of these materials has led to new design concepts, e.g. to enable multispectral detection. Sev-
eral different material designs based on heterostructures of InGaAs/InP, InGaAs/InAlAs, and Al-
GaInAs/InP have been tested [CQD, 2005]. By combining mid-wave IR and long-wave IR QWIP
structures, the first multi-spectral QWIP detectors based on an InP substrate could be demonstrated.
This QWIP structure was composed of 20 periods MWIR InGaAs/InAlAs multi-quantum wells and 20
periods LWIR InGaAs/InP multi-quantum wells on a semi-insulating InP substrate.
A possible application of such detector is given with target recognition, as each object has it's unique
infrared radiation pattern or "signature". The pattern consists of different intensities at different wave-
length, and hence multi-spectral infrared imaging is a method to distinguish the signature of a certain
object from the background. This is an important issue for many medical applications where a target
has to be recognized from the background.

30/11/2005 Dissemination level : Public Page 157/273


IST-017255 MONA Deliverable D1.1 (M4)

QDIPs are similar to QWIPs with the quantum wells replaced by quantum dots, having size confine-
ment in all spatial directions (see e.g. [Liu, 2003]).

4.2.3.3 Optical antennas:


In a recent approach antenna-coupled optical detectors, also named optical antennas, were developed
and proposed as alternative detection devices for the millimetre, infrared, and visible spectra
[Alda, 2005]. Optical and infrared antennas represent a class of optical components that couple elec-
tromagnetic radiation in the visible and infrared wavelengths in the same way as radio-electric anten-
nas do at the corresponding radio wavelengths. The size of optical antennas is in the range of the de-
tected wavelength, i. e. they require a level of miniaturization that became available only with the
development of fabrication techniques such as electron beam lithography and similar techniques with
nanoscale spatial resolution. Optical antennas have already proved their potential advantages in the
detection of light showing polarization dependence, tunability, and rapid time response. They also can
be considered as point detectors and directionally sensitive elements. So far, these detectors have been
thoroughly tested in the mid-infrared with some positive results in the visible. The measurement and
characterization of optical antennas requires the use of an experimental set-up with nanometric resolu-
tion.
Fig. 2.3. 2 shows a simple realization of a dipole
antenna made of Ni with a transducer element
based on a Ni–NiO–Ni junction. The structure is
written by e-beam lithography on a Si wafer
coated with an insulating layer of SiO2. When
light is incident onto the wafer perpendicularly it
excites currents on the antenna dipole structure.
These currents are rectified by the transducer (a
metal-oxide-metal diode) located at the centre of
the dipole. The triangular shaped metallic struc-
tures are the connection lines in charge of the sig-
nal extraction. These lines are typically connected
to a voltage divider that produces a signal propor-
tional to the optical irradiance at the antenna
Fig. 2.3. 2: Electron microscope photography of a dipole
plane. At the same time these connectors serve to antenna on a Si–SiO2 substrate. The metallic structure
forming the antenna is located on the top of the figure. The
apply the desired bias voltage to the junction. In triangular shaped structures are connection lines for biasing
the figure a design of a dipole antenna is shown. and for the extraction of the signal. Light is incident per-
pendicularly to the plane of the wafer. source [Alda, 2005]
However, depending on the application even other
antenna designs are possible. Alda et al. e.g. pro-
posed fractal geometry for multi-spectral detection.
Focal plane arrays of optical antennas in conjunction with Fresnel zone plates have been used to per-
form infrared (IR) imaging [Gonzalez, 2004]. Each pixel antenna has a length of 5-15 µm, whereas the
rectifying transducer elements in the center are in the dimension of 50-200 nm.
The optical antennas´ main advantage is their sensitivity to radiation polarization. Furthermore and in
contrast to highly sensitive semiconductor detectors they do not require any cooling, which allows for
easy device integration. However, actually they gain their best performance only in the IR region,
whereas visible range detection remains challenging.

30/11/2005 Dissemination level : Public Page 158/273


IST-017255 MONA Deliverable D1.1 (M4)

4.2.3.4 Optical single photon sensing:


So far photomultipliers and avalanche photodiodes have been the devices of choice for single photon
detection. However, the utilization of nanostuctures like quantum dots recently lead to the develop-
ment of new single photon detection devices. Two of them are described in [Shields, 2000], [Kardy-
nal, 2004] and [Blakesley, 2005]. A more detailed overview on these may be found in chapter 4.2
(“single photon systems”) of this report.

4.2.3.5 CNTs as thermal sensors:


In 2003 Wong et al. demonstrated [Wong, 2003] that bundled carbon-nanotubes (CNTs) can be used
as a novel material for microthermal sensing applications. They also found that the devices can be
operated at the μW range, which is an ultra-low power consumption for applications like shear stress
and thermal sensing. (typical MEMS polysilicon devices are operated in the nW range [Liu, 1999]).
So far there was no direct means to fix the MWNT
bundles on electrodes, except by van-der-Waals
forces. Therefore, in order to protect the CNTs from
contamination and to better secure them to the elec-
trodes, Chan et al. developed a MEMS-compatible
process to encapsulate the MWNT bundles for reli-
able test and measurements [Chan,2004]. The fabri-
cation process of this CNT embedded sensor is
shown in Fig. 2.3. 3. A parylene C polymer was
used to embed the bulk MWNTs. As seen in the
fabrication process, SiO2 was first deposited on the
silicon substrate to avoid conduction of the gold
electrode with the substrate. Then the Au and Cr
electrodes were patterned. A photoresist was spun-
on and patterned between the Au electrodes to pro- Fig. 2.3. 3: Fabrication process flow for the CNT
based MEMS sensor. source [Chan, 2004]
vide a trench under the MWNT sensor. This photo-
resist layer could be used as a sacrificial layer for
particular applications of the sensors. The bottom parylene C layer was then deposited on the substrate
to isolate the MWNT bundles from the substrate. In order to effectively form the bulk MWNT on the
top of the parylene C layer, additional Au microelectrodes were patterned on the top of the bottom
parylene C layer. Afterwards, the top parylene C layer was deposited to embed the MWNTs and pro-
tect them from contamination. Finally, the sacrificial layer was released to serve as mechanical micro-
bridges that suspend the MWNT sensors across the Au electrodes.
The temperature coefficient of resistance (TCR) of the resulting CNT based thermal sensor was found
to be in the range of −0.05 / C.
Due to the ultra-low power consumption of the bundled MWNTs, they could be promising in thermal
sensing applications.

4.2.3.6 Biological sensing:


Living organisms contain biological sensors with functions similar to those of those mechanical de-
vices described above. Most of them are specialized cells. However, focussing on devices instead of

30/11/2005 Dissemination level : Public Page 159/273


IST-017255 MONA Deliverable D1.1 (M4)

organisms the term “biological sensing” may be defined with respect to instrumentation and in-vitro-
quantification of various biologicals.
Nano-biosensing refers to different approaches related to nanophotonics
A number of applications deals with the utilization of nanoparticles as replacement of organic dyes for
the labelling of chemical compounds or biological analytes for molecular biological or cell biological
sensing. Quantum dots are generally getting famous in this respect and find various applications, e. g.
[Michalet, 2005]. However, even other nanoparticles have been designed for specific applications.
Dye doped nanoparticle e. c. are silica nanoparticles with a number of luminescent molecules embed-
ded. They are reported to be very photostable and are used for cell staining and bio-recognition.
[Zhao, 2003].
A different approach, “probe encapsulated by biologically localized embedding” (PEBBLE), was in-
troduced designing nanoparticles having different sensor molecules entrapped within a chemically
inert matrix. The PEBBLEs´ size depends on the application and ranges from ~50 to ~500 nm. PEB-
BLEs typically contain sensors for physiologically relevant substances such as H+, Ca2+, Na+, Mg2+,
glucose and many more. [Clark, 1999], [Monsoon, 2003]
Only recently a biochemical sensor based on carbon nanotubes (CNT) tagged with single strand DNA
was reported for nanosensing [Lester,2005]. With the CNT-DNA complex a hybrid of two molecules
that are extremely sensitive to outside signals was created. The single stranded DNA serves as the
detector, whereas a carbon nanotube, acts as “transmitter”. Put together they become an extremely
versatile and specific type of sensor, capable of finding tiny amounts of a specific molecule. When the
DNA portion of the nanosensor binds to a target molecule, there is a slight change in the electric
charge near the nanotube. The nanotube then picks up on that change and turns it into an electric signal
that can be reported. By that means tiny chemical and biochemical sensors are created with ability to
detect even odors and tastes (that’s why they are also called “nano-tongues” and “nano-noses”). Ar-
rays of these nanosensors will have the sensitivity to detect molecules on the order of one part per
million.
A series of applications that partially already found their way to commercialization are utilizing plas-
mon-based sensing:
SPR-biosensors make use of either the plasmonic electromagnetic field enhancement or the modifica-
tion of the plasmon resonance properties due to analytes or chemical compounds binding to a metallic
surface or metallic nanoparticles.
The plasmon-based DNA hybridisation detection was already described in the plasmon chapter of this
report (see above): Silver nanoparticles attached to a single strand DNA were used to detect the bind-
ing of a complementary DNA strand by a dramatically enhanced fluorescence of the dye label upon
binding. The fluorescence increase is due to the surface plasmon enhancement of the electromagnetic
field [Malicka, 2003].
Other experiments are reported where single strand DNA was attached to 15 nm gold nanoparticles
showing a well-defined surface plasmon resonance (SPR) exhibiting a red color. Upon binding to the
complementary - also nanoparticle tagged - DNA strand a “nanoparticle dimer” is formed, shifting the
SPR of the complex and even the color towards blue. [Storhoff, 1999]
Even based on the formation of gold nanoparticle aggregates due to biochemical binding and the ap-
propriate impact on the complexe´s SPR is the blood immuno assay of Hirsch et al. It was already
described above in the Plasmon chapter and is another application example of nano-technology in
biosensing. [Hirsch, 2003].

30/11/2005 Dissemination level : Public Page 160/273


IST-017255 MONA Deliverable D1.1 (M4)

Other SPR based biosensing technologies are due to the dielectric sensitivity of plasmon resonances
and have been commercialized as biological and pharmaceutical lab instrumentation. SPR spectrome-
ters (e. c. by Biacore Life Science AB, Sweden) use 2-dimensional metallic thin-films for coating the
sample carriers. Analytes binding to the surface influence the SPR, and thus are being detected and
quantified.

4.2.3.6.1 Porous silicon microcavity biosensing:


Another application deals with a porous silicon microcavity utilized for an analyte induced shift in the
mode structure. Porous silicon contains nanodomains of silicon and is highly luminescent. When
brought into a microcavity, the broad emission profile may be narrowed to ~3 nm and used for DNA
detection. To do so, the porous silicon surface was attached to single strand DNA. The exposure (and
binding) to complementary DNA was shown to shift the mode structure of the fluorescence in the
cavity. The appropriate set-up was used for the detection of gram-positive and gram-negative bacteria
[Chan, 2001].

4.2.3.7 Optical nanofiber sensor:


Tapered optical fibers as known from near-field microscopy (see above) can be used as biosensors,
when biorecognition elements are being immobilized on the fiber tip. This tip is moved to the interior
of cells for biochemical sensing, pH determination or the detection of various physiologically relevant
ions [Vo-Dinh, 2000], [Xu, 2001].
The above description displays only a selection of various methods for biosensing reaching from sci-
entific proposals to already commercialized features. However, as chemical and biological sensing is
not the focal scope of this report, various approaches remain untreated.

4.2.3.8 Photodiodes
Photodiodes are frequently used for the detection of light. PIN-photodiodes are semiconductor devices
which contain an n-p junction, and an intrinsic (undoped or depletion) layer between n and p layers.
Light absorbed in the depletion region generates electron-hole pairs which contribute to a photocur-
rent. The most popular semiconductor materials employed are Si or GaAs. Other possible choices are
InSb, InAs, PbSe and PbS [Koren, 2001]. In many applications it is desirable to maximize the thick-
ness of the depletion region. For example, device response is faster when most of the charge carriers
are created in the depletion region. This also increases the quantum efficiency (ratio of the photocur-
rent in electrons to incident light intensity in photons ) of the device, since most charge carriers will
not have the opportunity to recombine. The thickness of the depletion region can be modified by vary-
ing the semiconductor doping levels or more frequently by applying a reverse bias voltage (i.e., in the
direction where the diode is not conducting without incident light). The corresponding operation mode
is called photoconductive mode. The other possibility is to operate the photodiode in photovoltaic
mode, where the photodiode generates a voltage upon irradiation (results in low dark noise).

Typical photodiode materials are:

• silicon (Si): low dark current, high speed, good sensitivity roughly between 400 nm and 1000
nm (best around 800-900 nm)
• germanium (Ge): high dark current, slow speed due to large parasitic capacity, good sensitiv-
ity roughly between 600 nm and 1800 nm (best around 1400-1500 nm)
• indium gallium arsenide (InGaAs): expensive, low dark current, high speed, good sensitivity
roughly between 800 nm and 1700 nm (best around 1300-1600 nm) [rp-photonics]

30/11/2005 Dissemination level : Public Page 161/273


IST-017255 MONA Deliverable D1.1 (M4)

A higher responsivity (although sometimes at the cost of lower quantum efficiency and higher noise)
can be achieved with avalanche photodiodes. These are semiconductor-based equivalents to photomul-
tipliers and operated with a relatively high reverse bias voltage so that secondary electrons can be gen-
erated. The avalanche process effectively amplifies the photocurrent and increases the sensitivity, so
that noise influences of subsequent electronic amplifiers are minimized. However, the avalanche proc-
ess itself is subject to some noise, which can offset the mentioned advantage. When operated with
carefully designed electronics, avalanche photodiodes can be used even for photon counting with dark
count rates below 1 kHz. Such detectors with optimized amplifier electronics are also available in
CMOS integrated form.
Various approaches have been made to enable photodetection for different spectral ranges, using spe-
cific material combinations and different designs. As e.g. described in chapter 4.2.3.2. quantum well
structures based on GaAs/AlGaAs have proofed to allow the detection of photons in the 6 - 20 μm IR
wavelength range.
In another recent publication the development of a resonant cavity enhanced (RCE) photodiode based
on InGaAs/InP has been reported for the detection of photons with wavelength around 1.9 μm. In this
approach photodetection is based on interband absorption in a thin pseudomorphic InGaAs layer
placed inside a resonant cavity which enhances an optical field. In particular this approach seems to
reduce the dark current by reducing the lattice mismatch at the InGaAs/InP boundary. This is achieved
by reducing the InGaAs layer thickness (to about 6-15 nm) and generating a pseudomorphic compres-
sively strained layer. The quantum efficiency of such thin layers is only about 1 %. The latter can be
enhanced employing resonant cavities, e.g. in the form of Bragg reflectors.
The InGaAs/InP heterostructures have been grown by MOCVD. The design of the photodiode struc-
ture comprises an InP PIN-junction with a thin strained InxGa1–xAs (0.65 < x <0.8) layer placed inside
an undoped region. The latter is sandwiched between two parallel Bragg mirrors. The bottom Bragg
mirror is composed of an In0.53Ga0.47As/InP quarter-wave layer stack, the top mirror is made of
Si/SiO2 layers deposited on epitaxial layers by a sputtering method. The reported good properties of
InxGa1–xAs strained layers and good reflectivity spectra of the Bragg mirrors enabled RCE photodetec-
tors with photoresponse characteristics at wavelengths near 1.9 μm. The scientists reported low dark
current densities (of the order of 10–6 A/cm2 at U = -1V) for this type of detector. Other approaches
based on strain-relaxed InxGa1–xAs (0.65 < x <0.8) layers, which exhibit large lattice mismatch, have
been reported not to allow the dark current densities at room temperature to decrease below 10–3
A/cm2 (at U = -1V).
Other new approaches to photodetection enabling single-photon-detection are described in chapter
4.2.6.

4.2.3.9 Image sensors based on photodiodes and photogates:


Imaging technology represents one of the big markets today. The most relevant optical image sensors
used for camera applications are CCD sensors and CMOS sensors. Both technologies are based on
either photodiodes or photogates for light detection. The physical principle applied to detect is the
inner photo-effect, i.e. the incident light interacts with electrons, which are lifted from an inner energy
level to the conductance energy level.
Both, CMOS and CCD imagers, are manufactured in a silicon foundry using similar equipment. A
series of (thin) film deposition steps (sputtering, CVD techniques) has to be performed in conjunction
with several lithographical steps. Comparing the production of CCD and CMOS imagers, alternative
manufacturing processes are employed and the device architectures are quite different leading to dif-

30/11/2005 Dissemination level : Public Page 162/273


IST-017255 MONA Deliverable D1.1 (M4)

ferent capability and performance. For more detailed information see e.g. [Litwiller, 2005], [Dalsa,
2002], [Olympus, 2003].
Photogates and photodiodes:
There are two main types of photo-
sensitive picture elements (pixels)
used in modern image sensors: pho-
todiodes and photogates, which serve
to generate and collect signal charge.
Both types are silicon-based pixels.
The design of the setup is illustrated
in Fig. 2.3. 4.
Photodiodes have ions implanted in
the silicon to create (p-n) metallurgi-
cal junctions that can store photogen- Fig. 2.3. 4 Anatomy of an active pixel diode; right: structural features of
photodiodes and photo gates (source: [Olympus, 2003])
erated electron-hole pairs in the de-
pletion regions around the junction.
They are more sensitive detecting light in the visible spectral range, in particular for shorter wave-
length, i.e. blue light.
Photodiodes are more complex structures with better sensitivity to blue wavelengths at the cost of
lower fill factor (ratio between photosensitive area and total sensor area within one pixel, while the
remaining area is covered with light shielding electric circuitry).
Photogates use metal oxide semiconductor (MOS) capacitors to create voltage-induced potential
wells to store the photogenerated electrons. The major strength of photogates is their large fill factor.
In a CCD sensor based on photogates, up to 100% of the pixel can be photosensitive. High fill factors
are important because more of the incident photons can generate a photo-signal (higher full well ca-
pacity). However, photogates exhibit generally lower quantum efficiency (in comparison to photodi-
odes), particularly in the blue end of the visible spectrum, due to the polysilicon gate which covers a
part of the pixel. On the other hand, photogates can achieve higher gain levels for charge-to-voltage
conversion.
In CCD-technology photogates are employed e.g. to reduce noise and to enhance the image quality. In
CMOS technology, the pixels, whether photogate or photodiode, require a number of opaque transis-
tors (typically three to five) covering a part of each pixel, which reduces the fill factor.
Each design has ways to mitigate its weaknesses: in photogates very thin transparent membrane poly
gates can be applied to improve sensitivity, while photodiodes (both CCD and CMOS) typically are
used in conjunction with microlenses, the latter enhancing the fill factor effectively.
Color filters:
Electronic imagers are monochromatic. To capture color, silicon imagers must either record three dif-
ferent images in succession, which is impractical for any subject involving motion, or use a color filter
array on a single sensor, or split the incident light with a prism to multiple sensors.
Using a color filter for each sensor, such as the frequently employed mosaic Bayer filter. Each pixel is
covered with an individual filter and captures only one color (usually red, green, or blue, either
through a cover glass on the chip package (hybrid filter) or directly on the silicon (monolithic filter).
Full color values for each pixel must be interpolated by reference to surrounding pixels. The spatial
resolution is reduced by about 30 %. But due to a single focal plane and the optical simplicity this type
of filter is often employed. High quality mosaic filters provide stable color performance over time with

30/11/2005 Dissemination level : Public Page 163/273


IST-017255 MONA Deliverable D1.1 (M4)

minimal crosstalk. In multi-prism approaches each sensor is devoted to a single color, preserving the
spatial resolution. However alignment of the prisms is essential and complicated. It requires high pre-
cision, otherwise chromatic aberrations will occur.
A variant sensor type is the FOVEON “X3” direct sensor, which is currently the only sensor working
without interpolation. These sensors employ a single stack of photosites on top of each other, since
light of different wavelength has different penetration depth in silicon, i.e. the silicon itself is used as a
filter. However, in practice, silicon alone is a relatively poor filter. Prisms and focal plane filters have
far more precise transmission characteristics. Another challenge of this approach is that the height of
each layer stack not only reduces the fill factor, but also seems to enhance undesirable effects such as
vignetting, pixel crosstalk, light scattering, and diffraction [Dalsa, 2002].

4.2.3.9.1 CCD image sensors:


In a charge-coupled device (CCD) sensor, the
charge accumulated in every pixel is trans-
ferred through a very limited number of out-
put nodes (often just one) to be converted to
voltage, buffered, and sent off-chip as an
analogue signal. The pixel is entirely devoted
to light capture leading to high output uni-
formity. A schematic illustration of a charge-
coupled device is given in Fig. 2.3. 5.
In digital cameras the sensor devices are
based on semiconducting materials used to
transform an optical image into an image of
charge distribution. The sensor chip repre-
Fig. 2.3. 5 Anatomy of a charge coupled device (source: [FSU,
sents the interface between optical and elec- 2005]).
trical signal.
A CCD comprises photosites, typically arranged in an X-Y matrix of rows and columns. Each photo-
site, in turn, comprises a photodiode and an adjacent charge holding region, which is shielded from
light. The number of electrons collected, is proportional to the light intensity. In interline transfer
CCDs (see below: different CCD layout designs), such as produced e.g. by KODAK, light is collected
typically over the entire imager simultaneously and is then transferred to the adjacent charge transfer
cells within the columns [KODAK, 2005].
The charge is read out by moving each row of data to a separate horizontal charge transfer register.
Charge packets for each row are read out serially and sensed by a charge-to-voltage conversion and
amplifier section (see image below). This architecture produces a low-noise, high-performance
imager. However, this CCD designs prevents the integration of other electronics on the silicon chip.
Furthermore, CCD sensors require the application of several clock signals, clock levels, and bias volt-
ages, which complicates systems integration and increases power consumption, overall system size,
and cost
Different CCD layout designs:
There are different image layouts for CCD and CMOS imagers based on either photodiodes or photo-
gates (see Fig. 2.3. 6):
Full frame CCDs based on photogates:
Full frame CCD sensors with photogate pixels are relatively simple architectures. They offer the high-
est fill factor, because each pixel can both capture charge and transfer it to the next pixel on the way to

30/11/2005 Dissemination level : Public Page 164/273


IST-017255 MONA Deliverable D1.1 (M4)

the output node (“charge coupling” ). High fill factors of up to 100% tend to offset their lower sensi-
tivity to blue wavelengths and allow them to avoid the tradeoffs associated with microlenses. Full
frame CCDs provide an efficient use of silicon, but like film, they require a mechanical shutter, which
would not be suitable for some applications, e.g. in digital cinematography if the camera is designed
with rotating mirrors.
CCD Frame Transfer based on photogates:
A variation of the full frame CCD architecture is the frame transfer design, which adds a light-shielded
storage region of the same size as the imaging region. This sensor architecture performs a high-speed
transfer to move the image to the storage region and then reads out each pixel sequentially while it
accumulates the next image’s charge. This design improves smear performance and allows the sensor
to read out one image while it gathers the next; the tradeoff is the cost of twice as much silicon per
device and more complex drive electronics which can increase power dissipation. Frame transfer
CCDs have many of the same strengths and limitations as full frame CCDs: high fill factor, and charge
capacity, lower blue sensitivity etc.
CCD interline Transfer (ILT) based on photodiodes:
Interline transfer CCDs use photodiode pixels with good sensitivity especially for blue wavelengths.
The fill factor is low due to the vertical transfer channels that takes the pixel’s collected charge to-

Fig. 2.3. 6 Different layout designs for CCD and CMOS chips; for details see text (source: [Dalsa, 2002]).

wards the output node which shield the light The advantage of the shielded vertical channels is a fast
and effective electronic shutter to minimize smear.
To compensate for lower fill factor (typically 30-50%), most ILT sensors use microlenses, individual
lenses deposited on the surface of each pixel to focus light on the photosensitive area. Microlenses can
boost effective fill factor to approximately 70%, improving sensitivity (but not charge capacity). The
disadvantage of microlenses (besides some additional complexity and cost in fabrication) is that they
make pixel response increasingly dependent on lens aperture and the angle of incident photons.

4.2.3.9.2 CMOS image sensors:


A CMOS sensor is based on complementary metal oxide semiconductor (CMOS) technology, which
enables a reduction of power consumption. As illustrated in Fig. 2.3. 7 a typical sensor consists of a

30/11/2005 Dissemination level : Public Page 165/273


IST-017255 MONA Deliverable D1.1 (M4)

photosensitive area, busses, a microlens to boost the relatively low fill factor, a color filter and 3-5
support transistors.
Different CMOS design layouts (see also Fig. 2.3. 6):
CMOS active pixels based on photodiodes:
Almost all CMOS designs today use “active pixels”, which
use an amplifier in each pixel, typically constructed with
three transistors (denoted as a 3T pixel). More complex
CMOS pixel designs include more transistors (4T and 5T)
to add functionality such as noise reduction and/or shutter-
ing. The simpler 3T structures have better fill factor (al-
though the full-frame CCD’s fill factor remains much
higher than the 3T CMOS pixel), while the more complex
structures have more functionality (e.g. shuttering). In order Fig. 2.3. 7 Three-dimensional cutaway drawing of
to boost the fill factor, most CMOS sensors use mi- a typical CMOS active sensor pixel illustrating the
photosensitive area (photodiode), busses, microlens,
crolenses. The tradeoffs involved with microlenses are more color filter, and three support transistors (source:
[Olympus, 2003]).
pronounced with CMOS imagers than for CCD imagers
since the microlenses are farther from the photosensitive
surface of the pixel due to the transistors covering a part of the pixel area. This can affect resolving
power and color fidelity. 3T CMOS pixels with amplifiers integrated on the chip, generate useful
amounts of signal, but their noise performance still lags behind CCDs, thus limiting dynamic range.
CMOS on chip A/D:
To improve the performance of 3T CMOS sensors, designers have added more transistors. Pinned
photodiodes, a concept originally developed for CCDs, use additional wafer implantation steps and an
additional transistor to improve noise performance (particularly reset noise), increase blue sensitivity,
and reduce image lag (incomplete transfer of collected signal). The tradeoffs are reduced fill factor and
full-well capacity, but with their much better noise performance, 4/5T CMOS pinned photodiodes can
deliver better dynamic range than 3T designs.
Status:
Well-established standard silicon processes in high-volume wafer plants that also produce related
chips such as microprocessors, memory circuits, etc., can be used and modified for CMOS-sensor
production, which initially was expected to significantly reduce the production costs. However, this
apparent advantage was compensated by much higher process adaptation costs than initially expected.
For example, standard CMOS techniques for creating transistor junctions in logic chips might produce
high dark currents and low blue response when applied to an imaging device. Optimizing the process
for image sensors often involves tradeoffs that render the fabrication scenario unreliable for common
CMOS devices. Although many CMOS fabrication plants lack the process steps for adding color fil-
ters and microlens arrays, these steps are being increasingly implemented for image sensor production
as market demands grow. In addition, optical packaging techniques, which are critical to imaging de-
vices, require clean rooms and flat-glass handling equipment not usually found in plants manufactur-
ing standard logic and processor integrated circuits. Thus, ramp-up costs for image sensor fabrication
can be significant.
Nowadays, a resolution of 1280*1024 pixels can be achieved even for cheap sensors, which are fur-
thermore sensitive in the infrared and visible spectral range. Using a single CMOS sensor allows to
simultaneously create a visible image and a thermogram. Similar to CCDs, microlenses can be used to
enhance the functionality of CMOS image sensors.

30/11/2005 Dissemination level : Public Page 166/273


IST-017255 MONA Deliverable D1.1 (M4)

In a CMOS sensor, each pixel has its own charge-to-voltage conversion. The uniformity of CMOS
sensors is generally lower than for CCD sensors, since each pixel performs its own conversion. In
comparison to CCD imagers, more functional electric circuitry can be integrated on the CMOS chip
and thus less off-chip circuitry is needed for basic operation. CMOS sensors often include amplifiers,
noise-correction, and digitization circuits, so that the chip outputs digital bits. These other functions
increase the design complexity and reduce the effective photosensitive area (i.e. the fill factor). In
addition the imagers may be equipped with auxiliary circuits that enable on-chip features such as e.g.
anti-jitter (image stabilization). When the image has been sufficiently processed (including image re-
covery, nearest neighgbor interpolation performed on the pixels, filtering with anti-aliasing algorithms
and scaling, anti-vignetting, spatial distortion correction, white and black balancing, smoothing, sharp-
ening, color balance, aperture correction, and gamma adjustment), it is sent to a digital signal proces-
sor for buffering to an output port.
Lithography and process control in CMOS fabrication technology have reached a level that seems to
enable image quality of CMOS sensors approaching that of CCD sensors. Advancing lithography im-
proves the fill factor and optical sensitivity. Smaller transistors decrease power dissipation and the die
size needed for integrate other electric circuit functions.
The most advanced CMOS imager devices use fabrication technology, which enables minimum fea-
tures of 180 nm and even smaller. However certain tradeoffs exist when reducing the size of the tran-
sistors to integrate more functionality to each pixel. However denser lithography increases the devel-
opment costs, mainly, due to mask costs. In addition, substantial on-chip integration may cause noise
coupling issues.
Issues with submicron-scaled transistors:
While a reduction in geometry reduces trace widths, it also makes shallower junctions and reduces
voltage swing, making it more difficult to gather photogenerated charge and measure it. Smaller ge-
ometries also make devices more susceptible to other noise sources. Where frame rates are concerned,
CMOS can demonstrate good potential. Higher frame rates are possible because pixel information is
transmitted to outside world largely in parallel as opposed to sequentially as in CCDs. With more out-
put amplifiers, bandwidth per amplifier can be very low, meaning lower noise at higher speeds and
higher total throughput. On the other hand, the outputs have lower uniformity and so require additional
image processing. Imaging processing is often a bandwidth limiter for imaging systems attempting to
perform high precision calculations in real time for high frame rates.
Comparison: CMOS vs. CCD:
Initial predictions for emerging issues outcome CMOS vs. CCD
CMOS technology
Equivalence to CCD in Required much greater process ad- High performance available in
imaging aptation and deeper submicron both technologies today, but with
performance lithography than initially thought higher development cost in most
CMOS than CCD technologies
On-chip circuit integration Longer development cycles, in- Greater integration in CMOS than
creased cost, trade-offs with noise CCD, but companion ICs still
and flexibility during operation often required with both
Reduced power consump- Steady progress for CCDs dimin- CMOS ahead of CCDs
tion ished the margin of improvement for
CMOS
Reduced imaging subsys- Optics, companion chips and pack- comparable
tem size aging are often the dominant factors

30/11/2005 Dissemination level : Public Page 167/273


IST-017255 MONA Deliverable D1.1 (M4)

in imaging subsystem size


Economies of scale from Extensive process development and Legacy logic and memory pro-
using optimization required duction lines are commonly used
mainstream logic and for CMOS production today, but
memory foundries with highly adapted processes
akin to CCD fabrication

Table 2: CMOS versus CCD; expectations and issues; information adopted from [Litwiller, 2005].

Applications:
The list of applications for CMOS image sensors has grown in the past years and includes fax ma-
chines, scanners, security cameras, toys, games, PC cameras and low-end consumer cameras. Due to
their versatility CMOS sensors may also appear in cell phones, bar code readers, optical mice, auto-
mobiles, and perhaps even domestic appliances in the coming years. Due to their ability to capture
sequential images at high frame rates, CMOS sensors are being increasingly utilized for industrial
inspection and medical diagnostics. Although not expected to replace CCDs in the higher-end applica-
tions, CMOS image sensors should continue to find new homes as the technology advances [Olym-
pics, 2003].

30/11/2005 Dissemination level : Public Page 168/273


IST-017255 MONA Deliverable D1.1 (M4)

4.2.3.10 Table: new approaches in sensor technology:


Device Nano- Nanostructur- Develop- Remarks Chap-
Component ing Technol- ment State Issues ter
ogy
Quantum well quantum wells MBE commercial - GaAs/AlGaAs 4.2.3.2
IR photodetec- - operation at T<200K
tor (QWIP)
Quantum dot quantum dots LP- MOCVD + research InGaAs or InAs QDs on GaAs 4.2.1.2
IP (QDIP) self-assembly or InP substrates 4.2.3.2
multiple quantum LP-MOCVD research - InP substrate with 4.2.3.2
wells prototype 20 periods InGaAs/InP (detec-
tion range: 8-9µm) for LWIR
and
20 periods InGaAs/InAlAs
(detection range: 3-5µm) for
MWIR
- operation at T<77K
Optical anten- antenna transducer EBL research - room temperature operation 4.2.3.3
nas element prototype - detection wavelengths:mid IR
(size: 50 - 200 nm) (to visible)
- FPA demonstrated
Thermal Sen- CNT CVD research - power consumption in the µW 4.2.3.5
sor prototype range
UV Sensor thin film of electrochemical research - UV induced photolumines- 4.2.3
c-Si nanoparticles etching or sput- cence
embedded in SiO2 tering deposi- - response time upon UV excita-
tion tion: fs range
Polarization InP quantum wires EBL for electri- research - large anisotropy in the photo- 4.2.3.1
Sensor cal contacting luminescence intensity parallel
thermal evapo- and perpendicular to the
ration for con- nanowire axis
tact electrodes
SPR Spec- Surface Plasmons commercial - biological analyte detection by 4.2.3.6
trometers dielectric sensitivity of SPR
Biosensors SPR biosensors colloidal syn- research - biological analyte detection by 4.2.3.6
with silver or gold thesis SPR field enhancement
nanoparticles - particle sizes: ~15nm
Surface Plasmons self organized research - specific DNA sensing with 4.2.3.6
growth CNT-DNA complexes
- electric change upon binding
quantum dots colloidal syn- in transfer - quantum dots as artificial 4.2.3.6
thesis from re- fluorophores
search to
application
nanoparticles colloidal syn- research - biological sensor molecules 4.2.3.6
(PEBBLE) thesis entrapped in a nanoparticle
(size: 50-500nm)
- sensing of physiological ions
tapered nanofiber research - immobilization of analytes on 4.2.3.7
(SNOM tip) the fiber tip for biological sens-
ing
RCE photodi- InGaAs (6-15 MOCVD, sput- research detection for 1.9 μm band 4.2.3.8
ode, nm)/InP tering
e.g. for moisture + InGaAs/InP and
analysers Si/SiO2 Bragg re-
flectors

30/11/2005 Dissemination level : Public Page 169/273


IST-017255 MONA Deliverable D1.1 (M4)

[Alda, 2005] Alda J. et al., Optical antennas for nano-photonic applications, Nanotechnology, 16, S230–S234, 2005.

[Blakesley, 2005] Blakesley, J. C., See, P., Shields, A. J., Kardynał, B. E., Atkinson, P., Farrer, I., Ritchie, D. A., “Efficient Single Photon
Detection by Quantum Dot Resonant Tunneling Diodes”, Phys. Rev. Lett. 94, p. 067401, 2005

[Cavalleri, 2001] Cavalleri, A.; Toth, C.; Siders, C.W.; Squier, J.A.; Raski, F.; Forget P.; Kieffer, J.C., Femtosecond Structural Dynamics in
VO2 during an Ultrafast Solid-Solid Phase Transition. Phys.Rev.Lett., 87, pp 237401, 1-4, 2001.

[Chan, 2001] Chan S. et al., Nanoscale Silicon Microcavities for Biosensing, Mater. Sci. Eng. C15, 277-282, 2001.
[Chan, 2004] Chan R. H. M., Fung C. K. M., Li W. J., Rapid assembly of carbon nanotubes for nanosensing by dielectrophoretic force,
Nanotechnology 15, S672–S677, 2004.

[Clark, 1999] Clark H. A. et al., Optical Nanosensors for Chemical Analysis Inside Single Living Cells. 1. Fabrication, Characterization, and
Methods for Intracellular Delivery of PEBBLE Sensors, Anal. Chem, 71,4831-4836, 1999.

[CQD, 2005] Center for quantum devices, Quantum Well Infrared Photodetectors (QWIP), Aug. 2005;
http://www.cqd.ece.northwestern.edu/research/QWIPs.pdf

[DALSA, 2002] Image Sensor Architectures for Digital Cinematography, DALSA Digital Cinema, white paper, 03-70-00218-01,
2002.

[FHG, 1998] Quantum Well Infrared Photodetectors, Fraunhofer IAP, Achievements and Results, 1998.

[Fonseca, 2002] Fonseca, L.F.; Resto, O.; Nery, G.; Posada, Y.; Weisz, S. Z.; Liu, H.; Mafoud, A., Optical and Electrical Properties of Pure
and Rare-Earths Doped nc-Si/SiO2 Composites Prepared by RF co-Sputtering, Surface Review and Letters, 9, 1655-1660, 2002.
[Fonseca, 2000] Fonseca, Luis F; Resto, O.; Buzaianu, S. M; Weisz, Zvi S; Gomez, M., Comparative Analysis of the 1.54 m Emission of Er-
doped Si/SiO2 Films and the Size Distribution of the Nanostructure, Materials Science and Engineering B, 72, 109-112, 2000.

[Geohegan, 1998] Geohegan, D.B; Puretzky, A.A;. Duscher. G.; Pennycook, S.J., Photoluminescence From Gas-Suspended SiOx Nanoparti-
cles Synthesized by Laser Ablation. Appl.Phys.Lett., 73, 438-440, 1998.

[Gonzalez, 2004] Gonzalez F J, Alda J, Ilic B, Boreman G, Infrared antennas coupled to lithographic Fresnel zone plates, Appl. Opt. 43
6067–73, 2004
[Hirsch, 2003] Hirsch L. R. et al., A Whole Blood Immunoassay Using Gold Nanoshells, Anal. Chem. 75, 2377-2381, 2003.

[Kardynal, 2004] Kardynal B. E., Shields A. J., Low-noise photon counting with a radio-frequency quantum-dot field-effect transistor, Appl.
Phys. Lett., Vol. 84 Num. 3, Jan. 2004.
[KODK, 2005] http://www.kodak.com/US/en/corp/researchDevelopment/technologyFeatures/cmos.shtml

[Koren, 2001] B. Koren, photodiodes, spies’s oemagazine, august 2001.

[Lester,2005] Lester G.., Researchers Create DNA-Based Sensors for Nano-Tongues and Nano-Noses, Penn University of Pennsylvania,
September 15, 2005; http://www.upenn.edu/pennnews/article.php?id=847

[Litwiller, 2005] D. Litwiller, CMOS s. CCD: Maturing technologies, Maturing Markets, Photonics Spectra , August 2005 issue.

[Liu, 1999] Liu C., Huang J. B., Zhu Z., Jiang F., Tung .S, Tai Y. C., HoC M1999 J. Microelectromech. Syst. 8 90–9, 1999.

[Liu, 2000] Liu H. C., Semiconductors and Semimetal edited by H. C. Liu and F. Capasso (Academic Press, 2000) Vol. 62, p. 129, 2000.

[Liu, 2003] H.C. Liu, Quantum dot infrared photodetector, Opto-Electronics Review 11 (1), 1-5 (2003).

[Liu, 2005] Liu. H. et al., Optical and Nonlinear Optical Response of Light Sensor Thin Films, Sensors 2005, 5, 185-198,
2005;http://www.mdpi.net.

[Malicka, 2003] Malicka J., Gryczynski I., Lakowicz J. R., DNA Hybridization Assays Using Metal Enhanced Fluorescence, Biochem.
Biomed. Res. Commun. 306, 213-218, 2003.

[FSU, 2005] http://www.microscope.fsu.edu/primer/digitalimaging/concepts/ccdanatomy.html .

[Michalet, 2005] Michalet, X., Pinaud, F. F., Bentolila, L. A., Tsay, J. M., Doose, S., Li, J. J., Sundaresan, G., Wu, A. M., Gambhir, S. S.,
Weiss, S. Quantum dots for live cells, in vivo imaging, and diagnostics. Science, 307, 538 – 544, 2005.

[Monsoon, 2003] Monsoon E. et al., PEBBLE Nanosensors for In Vitro Bioanalyses, Biomedical Photonics Handbook, CRC Press Boca
Raton, FL, 59-1 - 59-14, 2003.

[Petrov, 2002] Petrov, G.I.; Yakovlev, V.V.; Squier, J.A., Nonlinear Optical Microscopy Analysis of Ultrafast Phase Transformation in
Vanadium Dioxide, Opt.Lett. 27, 655-657, 2002.

[rp-photonics] http://www.rp-photonics.com/photodiodes.html .

30/11/2005 Dissemination level : Public Page 170/273


IST-017255 MONA Deliverable D1.1 (M4)

[Shields, 2000] Shields, A., O'Sullivan, M., Farrer, I., Ritchie, D., Hogg, R., Leadbeater, M., Norman, C., Pepper, M. "Detection of single
photons using a field-effect transistor gated by a layer of quantum dots", Appl. Phys. Lett. 76, p. 3673, 2000

[Storhoff, 1999] Storhoff J. J., Mirkin C. A., Programmed Materials Synthesis with DNA, Chem. Rev. 99, 1849-1862, 1999.

[Vo-Dinh, 2000] Vo-Dinh T. et al., Antibody-Based Nanoprobe for Measurement of a Fluorescent Analyte in a Single Cell, Nat. Biotechnol.
18, 764-767, 2000.

[Wang, 2001] Wang J., Gudiksen M. S., Duan X., Cui Y., Lieber C. M., Highly Polarized Photoluminescence and Photodetection from
Single Indium Phosphide Nanowires, Science 239, 1455-1457, 2001.

[Wong, 2003] Wong V. T. S.,Li W. J., Proc. IEEE MEMS 41–4, 2003.

[Xu, 2001] Xu H. et al., Real-Time Ratiometric Method for the Determination of Molecular Oxygen Inside Living Cells Using Sol-Gel-
Based Spherical Optical Nanosensors with Applications to Rat C6 Glioma, Anal. Chem. 73 , 4124-4133, 2001.

[Zhao, 2003] Zhao X. et al., Ultrasensitive DNA Detection Using Highly Fluorescent Bioconjugated Nanoparticles, J. Am. Chem. Soc. 125,
11474-11475, 2003.

[Zynek, 2004] J. Zynek et al., Photodiode with resonant cavity based on InGaAs/InP for 1.9 μm band, opto-Electronics Review 12, 149
(2004.)

30/11/2005 Dissemination level : Public Page 171/273


IST-017255 MONA Deliverable D1.1 (M4)

4.2.4 Displays

4.2.4.1 Liquid-Crystal Displays (LCDs)


An LCD display is the type of flat screen display which is currently dominating the market. The LCD
technology is based on particular liquid crystals which affect the polarization of incident light under
certain conditions. In the following section, different types of liquid crystals will be described briefly.
Subsequently the setup and working principle of an LC Display will be treated, followed by a descrip-
tion of the individual manufacturing steps used for volume production.
Liquid crystals:
Liquid crystals (LCs) are organic materials with properties
inherent to both, liquids and solids. Nevertheless it is easier
to turn them into a liquid by slightly increasing the tempera-
ture than to solidify them.
There exist a variety of different types of liquid crystal sub-
stances. Most liquid crystal molecules are rod-shaped and
are broadly categorized as either thermotropic LCs, which
are sensitive to changes in temperature and sometimes in
pressure or lyotropic LCs, which are sensitive to the solvent
Fig.2.4 1 Nematic crystals (source:
http://electronics.howstuffworks.com/lcd2.htm) they are mixed with. Depending on the temperature and
particular nature of a substance, liquid crystals can be in one
of several distinct phases. The thermotropic LCs are either isotropic, i.e. their arrangement is random,
or nematic (see Fig.2.4 1), where they are ordered. The orientation of the crystals in the nematic state
may be imposed by a magnetic field or by an underlying surface that has microscopic grooves in it. In
the nematic phase, liquid crystals can be further classified by the way molecules orient themselves in
respect to one another. Smectic, the most common arrangement, creates layers of molecules. There are
many variations of the smectic phase, such as smectic C,
in which the molecules in each layer tilt at an angle from
the previous layer. Another common phase is choles-
teric, also known as chiral nematic. In this phase, the
molecules twist slightly from one layer to the next, re-
sulting in a spiral formation.
Ferroelectric liquid crystals (FLCs) use liquid crystal
substances that have chiral molecules in a smectic C
type of arrangement because the spiral nature of these
molecules allows the microsecond switching response
time that make FLCs particularly suited to advanced
displays. Surface-stabilized ferroelectric liquid crys-
tals (SSFLCs) apply controlled pressure through the use
of a glass plate, suppressing the spiral of the molecules
to make the switching even more rapid. For more infor-
mation on LCs see e.g. [LCI_Kent].
Displays:
Different types of LCDs include Passive Matrix LCDs Fig.2.4 2 Schematic setup of an LCD segment.
(PMLCD) and Active Matrix LCDs (AMLCD).
PMLCDs have closely spaced, transparent, horizontal metal electrodes on one-glass plate and vertical

30/11/2005 Dissemination level : Public Page 172/273


IST-017255 MONA Deliverable D1.1 (M4)

electrodes on the other plate. Voltages on these row and column electrodes combine at a cross point to
turn on the pixel at that point. AMLCDs use metal-insulator-metal (MIM) diodes or thin film transis-
tors (TFT) at each pixel (typically located at the rear panel) to control the pixel’s on / off state. Similar
to cathode ray tube, LCDs need color filters, since LCs are not self-emissive. In addition, either reflec-
tors (as in Fig.2.4 2) or backlight units (as used for large area TV) are needed for illumination of the
liquid crystals.
Setup and Working Principle:
A segment of an LC display is schematically illustrated in Fig.2.4 2. A Liquid Crystal Display (LCD)
utilizes two sheets of polarizing material (front and rear polarizer) with a liquid crystal solution be-
tween them. The liquid crystals are sandwiched between two glass plates coated with a polyimide
“alignment” layer. The front panel is coated with a patterned layer of ITO serving as transparent elec-
trode. In AMLCDs thin films are deposited on the rear panel to form the TFTs or diodes. The surfaces
of the polyimide alignment layers (on top of the electrode / TFT films) are micro- or nanocorrugated
[Ibn-Elhaj, 2001]. The material is rubbed to the desired molecular orientation using a “rubbing ma-
chine” (see e.g.[MCC, 1998],[Crystec]). The micro- or nanocorrugated surface topology determines
the orientation of the nematic LCs at that surface, where the closest LC-molecules are anchored. In the
case of the conventional twisted nematic (TN) LC, the layer on one panel is rubbed in a direction of 90
degrees with respect to the polyimide layer on the opposed panel, thereby causing the LC molecular
chain, consisting of rod-shaped molecules, to twist 90 degrees between the two panels. When an elec-
tric current is passed through the liquid it causes the crystals to align along a particular axis so that
light can pass through. Each crystal acts as a shutter, either allowing light to pass through or blocking
it.
Since LCs are not self-emissive, either a backlight unit or a reflector (as in Fig.2.4 2) is needed.
Cold fluorescent light (CFL) tubes (also dubbed CCFL or CCFT) in conjunction with a diffuser are
commonly used as backlight unit. Gas-filled tubes are mounted at the edges of an LCD display to il-
luminate a diffuser sheet. Alternatively high intensity LEDs may be used as backlight unit. A third
option is to use self-emissive electroluminescent screens. However the latter are significantly less
bright than CFLs [Kyocera].
In a super twisted nematic (STN) crystal the twisting angle is enhanced to 180° to 270°, providing a
better contrast (contrast: 7:1) of the display, in comparison to simple TN crystals (contrast: 3:1).
Double Layer Super-Twist Nematic (DSTN) displays (used in passive matrix designs to improve the
contrast to about 15:1) are composed of an active and a passive cell both containing LCs with a twist-
ing angle of 240°, which are twisted in opposed direction. If a voltage is applied to the active cell, the
passage of light can be controlled. If no voltage is applied to the active cell, linear polarized light is
converted to circular polarized light as it passes through the active cell. Inside the passive cell the cir-
cular polarized light is reconverted to linear polarized light, which is stopped by a polarization filter:
the pixel remains dark. Applying a voltage to the active cell, untwists the LC and linear polarized light
passes unchanged. In the passive cell the linear polarized light is converted to circular polarized light,
which cannot be stopped by the polarization filter: bright pixel.
Triple supertwisted nematic (TSTN) LC displays contain only one LC cell. Special thin films are in-
terposed between the glass plates and the polarizer. These films are introduced to compensate for color
shifts occurring as light passes through STN cells. Using the TSTN technology the contrast ratio can
be improved to 18:1.
Issues:
One problem of current LCD displays is, that the commonly used TN liquid crystal molecules relax to

30/11/2005 Dissemination level : Public Page 173/273


IST-017255 MONA Deliverable D1.1 (M4)

the initial stable state, which is defined by the direction of the substrate grooves, as soon as the exter-
nal field is removed. Therefore, in order to keep them in their switched state, a constant field must be
maintained. This is achieved by the individual transistors that control the flow of charge to and from
each pixel element, which must be constantly refreshed as this charge eventually leaks away. Not only
does this increase the power required to operate a modern AMLCD, but significantly adds to the
manufacturing cost.
LC molecules, which can adopt multiple stable orientations could drastically reduce the power con-
sumption required for high-information-content displays. But for the commonly used nematic LCs,
with their intrinsic uniaxial symmetry, no industrially feasible multistable LC device had been realized
so far. In 2002 Kim et al demonstrated that bistability and even tristability can be robustly integrated
into nematic LC devices. Stable macroscopic LC orientations have been achieved, which are mutually
switchable by an in-plane electric field. The resulting switching mode is surface-driven, and hence
should be compatible with demanding flexible display applications [Kim, 2002] [Gerstner, 2002],
[Gerstner, 2002].
LCD displays need reflectors or back light units for illumination of the LCs. Back light units are costly
(50% of the display) and could be produced cheaper in the future by using field emitter displays based
on carbon nanotubes (CNT-FEDs) [Lee, 2005] (see further below).
Another possibility is to use an array of LEDs as backlight unit [heise, 2005].
Manufacturing processes:
An active matrix LCD display consists of the rear panel and the front panel, both based on a pre-
cleaned amorphous (or poly-) silicon substrate which are patterned with the functional layers [MCC,
1998].
The front panel is sputter-coated with one of the pixel electrodes made from transparent ITO (indium
tin oxide). Thereupon a “black matrix” material, such as chrome, is sputter-deposited and subse-
quently patterned using conventional photolithography. The matrix is used for contrast enhancement
of the color filters. After that, the (RGB) color filter materials are sequentially deposited by spin
coating (and sometimes by electro deposition), followed by conventional photolithography steps in
order to pattern the individual sub-pixels. The color filters have a non-uniform surface and are coated
with a polyimide layer for planarization. The alignment layer (determining the direction of alignment
of the LCs), consisting of polyvinyl alcohols, or some polyesters or poly-siloxanes or some kind of
polyamic acid solution, is applied using roll coating techniques. The material is then baked in an
oven and rubbed with a rubbing machine to the desired molecular orientation.
The fabrication of the rear panel requires more steps than the front panel, since the thin-film-
transistors (TFT) used for electrical control, are patterned on the rear panel on top of an amorphous
Si substrate similar to that used for the front panel. The TFTs basically consist of a gate, source and
drain and channel. Applying a voltage to the gate, electrons flow through the channel between source
and drain. The source/drain region is separated from the gate by a dielectric material. Each of the
aforementioned components forming the TFT, have to be patterned individually by a full photolitho-
graphic patterning process:
The gate is typically a sputter-deposited aluminium layer, which may be dry or wet etched after
patterning. SiOx or SiNx is used as insulator between gate and source/drain. These dielectrics as well as
the a-Si channel and the SiNx etch stop layer are deposited in a CVD or PECVD reactor. Then the
stack is photolithographically treated followed by etching processes. The transparent pixel electrode
is then formed by sputtering and annealing (for stress reduction) ITO followed by another patterning
and etching process. To provide the contact between the (n+) doped a-Si layer and the source/drain

30/11/2005 Dissemination level : Public Page 174/273


IST-017255 MONA Deliverable D1.1 (M4)

metals, a hole is patterned and etched to expose the (n+) doped Si. Thereafter the source/drain metal
layers, such as e.g. Al, Ti, Mo, Cr, W or different alloys are sputter-deposited and patterned. On top
of the TFT a passivation layer is deposited for protection using PECVD. Finally a polyimide align-
ment layer is deposited using the same process as described above for the front panel.
The front panel containing the color filters and the rear panel with the TFTs patterned on it are finally
joined using an adhesive seal material, such as an epoxy or acrylic resin. The resin is applied typi-
cally using a screen printing technique and then cured in order to remove solvents out of the seal. A
hole has to be left in the seal for the injection of the LC. In order to maintain a precise gap of 5-10
microns between the two panels a glass or plastic spacer is sprayed onto one of the panels before
aligning and finally joining them. This is achieved by laminating process applying heat and pressure to
complete the cross-linking of the polymer. The LC organic is injected into the gap before closing the
injection hole sealing it with the resin used before.
The polarizer, consisting of pre-cut sheets or rolls, are attached to the outside of each panel using some
adhesive interlayer.
Around the edges of the display typically four backlights (e.g. cold cathode fluorescent tubes) are
placed in conjunction with a diffuser screen to provide uniform illumination of the panel.

4.2.4.2 Plasma Displays


Working Principle:

Fig.2.4 3 Schematic setup of a PDP panel composed of individual gas filled cells sandwiched between two glass plates. The indi-
In a plasma display panel (PDP), a gas fills the spaces between two glass sheets that are lined up in

30/11/2005 Dissemination level : Public Page 175/273


IST-017255 MONA Deliverable D1.1 (M4)

parallel, separated by a gap just 100 to 200 microns wide. Discharging this gas using electrodes gener-
ates UV light. Red, green, and blue fluorescent substances absorb the UV light and re-emit the energy
as visible light to produce the colors that appear on the screen.
Setup:
The glass plates are usually thicker than 3 mm, since they must withstand the stress arising from mis-
matching of thermal expansion coefficients of the glass, barrier ribs, dielectrics, etc.
As illustrated in Fig.2.4 3, each pixel of a PDP is composed of three elementary UV emitting dis-
charge cells. The cells contain a mixture of rare gases (generally Xe–Ne or Xe–Ne–He) capable of
emitting UV-photons. The UV light is converted into visible light by phosphors. The plasma in each
cell is typically generated by dielectric barrier discharges (DBDs), i.e. the electrode arrays are covered
with a 20–40μm thick dielectric layer operating in a glow regime in a mixture of rare gas and under
pressure conditions of typically 500 Torr. DBDs at atmospheric pressure can produce intense ultravio-
let radiation that can be used to break molecular bonds and initiate photophysical and photochemical
processes, modifying surface properties. Successive pairs of coplanar electrodes are separated by di-
electric barrier ‘rib’ structures formed on the inner surfaces of the glass plates. Between 20 and 40μm
thick dielectric layers cover the address (bottom) and coplanar (top) electrodes. About 500 nm of MgO
are deposited on the dielectric surface above the coplanar electrodes to protect the dielectric from sput-
tering and to provide large secondary electron emission under ion impact. The ribs either parallel
stripes separating the rows of the panel, or cells, which are closed in both directions forming a
‘WAFFLE’ structure. The latter improves the collection of VUV photons in the individual cells and
decreases cross-talk effects.
Manufacturing techniques involved:
Some thick film processes during the PDP fabrication require baking in a sintering furnace. During
these processes the glass substrates are heated up to 600°C, i.e. to temperatures close to the softening
point of low cost substrates, which may lead to deformation and deterioration of pattern uniformity.
ITO and metal electrodes are typically sputtered or deposited by other PVD techniques. Screen
printing of ITO material, followed by a lithographical patterning step, has been reported as well.
The 20 to 40 μm dielectric layers are formed by standard thick film techniques (screen printing fol-
lowed by drying and firing). Research is directed toward tailoring materials having good dielectric
strength and high transparency combined with low firing temperature.
The thin MgO layer (500 nm) is deposited by electron beam evaporation, sometimes by ion plating
or reactive sputtering. This is an expensive step because it involves a vacuum process.
The formation of barrier-ribs separating the individual cells from each other is a process specific to
PDP fabrication. Up to 1m long, and 100–200μm high ribs with a thickness of the order of 50μm and a
pitch of about 100-300μm have to be fabricated. Sand blasting and screen printing are the two
dominant technologies:
• Sand blasting is employed more often for the fabrication of higher resolution panels and uses fine
abrasive powders or glass beads to create the barrier ribs. The thick layer is first coated on the sub-
strate. A photosensitive film is then deposited on the layer and exposed through a photomask. The
resulting mask above the coating is then exposed to the blasting of hard fine particles which scrape
the unmasked parts of the coating.
• In the case of screen printing, the substrate is repeatedly coated with paste until the layer reaches
the appropriate rib height. Alignment of the different layers is a more difficult using this tech-
nique.

30/11/2005 Dissemination level : Public Page 176/273


IST-017255 MONA Deliverable D1.1 (M4)

The three-color phosphors are deposited using standard screen-printing techniques. Finally, sealing
and exhaust use standard cathode ray tube (CRT) technology processes.
For further details see [Boeuf, 2003].

4.2.4.3 Organic Light Emitting Diodes (OLEDs)


Organic semiconductors are strong candidates for creating flexible, full-color displays and circuits on
plastic. Using organic light-emitting diodes (OLEDs), organic full-color displays may replace liquid-
crystal displays (LCDs) for use with laptop and even desktop computers (see e.g. interview with I.
Chao [Chao, 2005]). Such displays can be deposited on flexible plastic foils. For an overview about
semiconducting organic polymers see e.g. [Kottan, 2001].
Working principle:
An organic LED (OLED) is a thin film light emitting diode con-
taining an organic compound material serving as emissive layer.
An OLED is a solid-state semiconductor device that contains a
100 to 500 nanometer thick organic light emitting layer. Apply-
ing a voltage to the device causes the organic material to emit
light. There exist different types of organic materials, such as
small molecules and polymers. The technology based on small
molecules was first developed by Eastman-Kodak and requires
vacuum deposition processes. The technology based on polymer
OLEDs, also called PLEDs, was developed by Cambridge Dis-
play Technologies (CDT) and represents a promising approach
to produce OLEDs in a cost-effective way, since the correspond-
ing technology uses solution based methods and the polymeric
materials can be deposited using printing techniques. Manufac-
turers place several types of organic films on the same OLED to
fabricate color displays.
The intensity or brightness of the light depends on the amount of
electrical current applied. The more current, the brighter the
light.
Setup:
The basic OLED pixel structure consists of a stack of thin or-
ganic layers sandwiched between a transparent anode and a me-
tallic cathode.
The color of the light depends on the type of organic molecule in
the emissive layer. In an OLED device the substrate is typically
Fig.2.4 4 Top: schematic setup of an
a transparent plastic, glass or foil. Thereupon a transparent anode OLED (source: [Kottan, 2001]); center:
active matrix-design for an OLED display;
(typically ITO) is deposited using sputter or evaporative tech- bottom: passive matrix design for an OLED
niques and lithographically patterned. The organic part of the display.

device typically is composed of a series of organic layers. At


least a conductive layer and an emissive layer is needed. The conductive layer transports “holes” gen-
erated by the anode. An example for a conducting polymer used in OLEDs is polyaniline. Different
organic molecules / polymers are used in the emissive layer, which transports electrons from the cath-
ode and emits light upon recombination of the electrons with the holes. An example for a conducting
polymer used in OLEDs is polyfluorene. A scheme of an OLED design containing two organic layers
is given in Fig.2.4 4. Other designs consist of more than two organic layers with different functions,

30/11/2005 Dissemination level : Public Page 177/273


IST-017255 MONA Deliverable D1.1 (M4)

comprising e.g. a hole-injection layer (HIL), a hole-transport layer (HTL), an emissive layer (EL), and
an electron-transport layer (ETL). In the case of polymers the organic layers can be deposited using
printing techniques, in the case of small organic molecules evaporation techniques and vacuum con-
ditions are required. As in LCD technology, design concepts (see center and bottom of Fig.2.4 4) for
active matrix OLED displays and for passive OLED matrix displays exist.
On top of the stack the cathode material is spincoated or evaporated.
The light emitting layer - small molecules and polymers:
Small molecules are deposited using thermal vacuum deposition processes, a PVD technique, where
an organic material is gently heated. The organic vapor then deposits on the substrate. Alternatively
organic vapor phase deposition (OVPD) is used, which is a CVD process, where a vaporized organic
material is transported into a hot-wall reactor using a carrier gas. The organic material deposits on a
cooled substrate [Forrest, 2004]. A third variant, which is under development, to deposit small mole-
cules is organic vapor jet printing OVJP, which applies printing processes under vacuum conditions
(see chapter “printing techniques”) [Benziger, 2004].
Polymers can be solved and therefore spincoating or printing techniques, in particular inkjet printing
([Philips, 2004], [Litrex]) can be used in order to deposit the organic layers. In contrast to spin coating,
less material is lost during printing processes, since the material is directed to the position where it is
needed. Also screen printing has been considered to be used for the production of organic hole trans-
port layers (HTLs) [Jabbour, 2001].
Issues:
One of the big advantages of polymer-based OLEDs is the possibility to produce flexible displays. For
flexible OLED displays, conventional encapsulation techniques are ineffective due to the rigidity of
the lid. Currently several flexible encapsulation approaches are being developed. These include barrier
coated flexible lids and thin film barrier coatings in intimate contact with the display surface [Lewis,
2004].
OLEDs are very sensitive to water and oxygen contaminations and therefore need to be protected. As
polymer substrates do not offer the same barrier performance as glass, OLEDs on flexible polymer
substrates will require thin film barriers on both the bottom and top side of the device layers for suffi-
cient lifetimes. These barrier layers consist of inorganic/polymeric ML used to decouple defects in the
encapsulation layer. Defects in the encapsulation layer, used to protect the active layers in flexible
displays, are a major problem. These defects enable the permeation of oxygen and water both acceler-
ating the degradation and lifetime of OLEDs. Due to the sensitivity of the organic layers the deposi-
tion of the barrier layers is realized using PECVD. PECVD enables film growth at relatively low tem-
peratures [Lewis, 2004].
QD-OLEDs:
A drawback in using OLEDs is that the emission spectra of many light emitting organic molecules are
rather broad due to vibrational and rotational motion of atoms and typically spanning a range of wave-
length between 50 and 100 nm (see Fig.2.4 5, inset). Whereas certain nanocrystals yield a sharp emis-
sion spectrum covering a range of less than 30 nm. At MIT a hybrid LED design has been presented
which incorporates electroluminescent inorganic nanocrystals in conjunction with conductive poly-
mers: quantum dot OLEDs are hybrid optoelectronic structures, where a monolayer of quantum dots is
sandwiched between organic layers [Tsutsui, 2002], [MIT, 2002], [Coe, 2002].
However, a simple mixture has a lot lower emission efficiency than conventional OLEDs.

30/11/2005 Dissemination level : Public Page 178/273


IST-017255 MONA Deliverable D1.1 (M4)

Setup:
In order to achieve high photon emission, suitable LED designs must support an efficient recombina-
tion of electrons and holes in small regions to avoid escaping and dissipation processes. The favoured
design, as used by Coe et al.,(see Fig.2.4 5) contains three layers: a thin emissive layer sandwiched
between a polymeric hole-transport layer (HTL) and an electron-transport layer (ETL). If the emissive
layer is thick, electrons and holes must be injected into it and transported; the emissive layer must then
replace both the ETL and the HTL, which is not ideal. If instead the emissive layer consists of a single
layer of molecules, electrons and holes may be transferred directly from the surfaces of the ETL and
the HTL, and high recombination efficiency is expected.
The design of the LED presented by Coe and colleagues follows this lead (see Fig.2.4 5). The emissive
layer in their quantum-dot LED is only a few nanometres thick, consisting of uniformly distributed
single nanocrystals, each about 3 nm in diameter. The organic HTL and ETL layers have thicknesses
between 30nm and 50nm.
Fabrication methods:
Solution-based method in con-
junction with self-assembly: The
hybrid design for quantum dot
OLEDs presented by Coe et al.,
yields high emission efficiencies
and spectral purity, both achieved
by carefully controlling the con-
centration of quantum dots in an
organic solution. Core of the
fabrication method is the forma-
tion of the active layer by self-
assembly [Coe, 2002] [MIT,
2002]. The active layer is a single
monolayer of CdSe quantum dots
Fig.2.4 5 In this LED made by Coe et al., a layer of
coated with ZnS. The quantum cadmium−selenium nanocrystals, or quantum dots, is sandwiched
dots are contained in a solution between layers of electron-transporting and hole-transporting
and spin cast onto the underlying organic materials. An applied electric field causes electrons and
holes to move into the nanocrystal layer, where they are captured in
substrate, which is typically an the quantum dots and recombine, emitting photons. The spectrum
ITO-coated glass substrate. In of photon emission is narrow, characterized by its full width at half
this process the solution is uni- the maximum value (source: [Tsutsui, 2001])
formly distributed and in a spon-
taneous phase separation process a monolayer of quantum dots assembles on top of the organic layer.
The quality of the phase separation depends upon the concentration of the quantum dots in the organic
solution.

4.2.4.4 Field Emitting Displays (FEDs)


In contrast to CRTs, FEDs are flat panel displays using tiny electron emitters located just in front of
the phosphor pixels. Therefore no deflection system is required to direct the electron beam(s).
The first generation of FEDs used tiny, conical electron emitters, known as a "Spindt tips", which are
typically composed of silicon or tungsten. These tips where fabricated using anisotropic etching tech-
niques or vapor deposition processes. Another possibility is to uses carbon nanotubes (CNTs) as elec-
tron emitters in so called CNT-FEDs. Several prototypes have been demonstrated by Samsung, Mo-

30/11/2005 Dissemination level : Public Page 179/273


IST-017255 MONA Deliverable D1.1 (M4)

torola and others. In September 2005, Applied Nanotech, Inc., a subsidiary of Nano-Proprietary an-
nounced that in conjunction with its consortium of six prominent Japanese display component manu-
facturers, it has completed its proof of
concept of a high resolution, full color,
25-inch diagonal carbon nanotube (CNT)
TV (280 x 200 color lines or pixels)
[nano-proprietary]. In comparison to
LCDs, CNT-FEDs provide high tempera-
ture stability and lower power consump-
tion.
Setup and Working Principle:
Similar to conventional cathode ray tubes
(CRTs), field emission FEDs use phos-
phor coatings as light emitting medium. Fig.2.4 6 Schematic setup for an FED (source: [Robertson,
2004]).
Instead of using a single electron beam to
scan over the pixel lines, an FED contains a large array of fine metal tips or islands of multiple carbon
nanotubes, one positioned behind each phosphor dot, to emit electrons.
Applying a voltage between the tip and the phosphor coated anode the electrons are accelerated to-
wards the phosphor dots in a vacuum. As electrons impinge on the anode surface the phosphors emit
light. The tips / CNT islands are deposited on a cathode material and thus form part of the cathode. At
the position of a charged fine tip the electric field is strongly enhanced enabling the emission of elec-
trons out of a solid state material at relatively small fields [Amaratunga, 2003]. The FED setup is
schematically illustrated in Fig.2.4 6 and more specifically for the case of carbon nanotubes in
Fig.2.4 7.
In a simple diode-design the emission current has to be controlled either by changing the voltage or by
varying the distance between tip and anode, the latter not being feasible. Changing the voltage affects
the electron energy, which is not favourable. Therefore typically a triode design, as depicted in Fig.2.4
6, is employed and an additional gate-electrode is introduced at a position between tip and anode. The
emission current can be controlled applying a voltage between cathode and gate / transmission grid.
The gate-voltage allows one to exclusively control the emission current without affecting the accelera-
tion of the electrons controlled by the voltage applied between anode and cathode.

4.2.4.4.1 CNT-FED
Spindt-Tips versus CNTs:
Recently FED-displays have been presented by Samsung and Motorola which are based on carbon
nanotubes as field emitters. The first CNT-FED prototype has been presented in the late 90tes by Sam-
sung. Carbon nanotubes (CNTs) are potentially more efficient electron emitter than Spindt tips.
They provide excellent emission properties due to the large possible aspect ratio. Electron emission
was already observed for electric fields as small as 1V/μm. They can be treated using sputtering tech-
niques and they are chemically inert. In addition, current densities of more than 109 A/cm2 can be
achieved.
Production of CNT-FEDs
For the fabrication of CNT-FEDs basically two relevant production techniques have been used. Pre-
synthesized CNTs are already commercially available (although at prices up to 500 $ per gram, at least
SWNTs are still rather costly) and can be mixed in solution-based processes to form a paste or an ink

30/11/2005 Dissemination level : Public Page 180/273


IST-017255 MONA Deliverable D1.1 (M4)

[Baughman, 2002]. The latter can be deposited using printing techniques (Samsung, DuPont). The
other possibility employs in-situ CVD deposition techniques in conjunction with directed self-
assembly in order to grow CNTs directly on the substrate (Motorola und cDream) [Fan, 1999], [Zhu,
2001] [Pirio, 2001].

Fig.2.4 7 The core of a field-emission display is an array of


carbon nanotube-based emitters. Each emitter is composed of a
cluster of nanotubes acting as a cathode. Electrons are produced
via field-emission (top left). Each pixel is composed of three red,
green, and blue sub-pixels (above). A gate electrode in each sub-
pixel creates the electric field for emission (see also: photomicro-
graph, top). Emitted electrons are swept through a vacuum toward
a phosphor by an anode placed between the phosphor and the
glass surface of the display (left). Struck by the electrons, the
phosphor emits visible light, as in a traditional cathode ray tube,
but in a package that’s a fraction the thickness (left) (source:
[Amaratunga, 2003]).

The use of pre-synthesized CNT-inks is favourable if single walled carbon nanotubes (SWNTs) are to
be deposited, since CVD-processes require elevated temperatures, which would destroy the substrate.
At temperatures compatible with the substrate only multi walled CNTs (MWNTs) can be grown di-
rectly on the cathode surface using an aluminium oxide template to produce highly ordered arrays of
carbon nanotubes. The in-situ process enables better control over the field emitting properties of the
CNTs produced.
For the case of pre-synthesized CNTs the arrangement of the CNTs at the cathode surface cannot be
controlled. This led to accumulations of CNTs at certain positions causing an unbalanced illumination
of the display. Motorola overcame this problem using in-situ CVD techniques and direct assembly of
the CNTs. This preparation method enables the control over the arrangement and size of the CNTs. In
addition an ordered arrangement of the CNTs is favourable for electric triggering [Stuart, 2003]. Du-
Pont employs a thick film technique applying a film of CNTs and inorganic powders, followed by a
lithographical patterning process.

30/11/2005 Dissemination level : Public Page 181/273


IST-017255 MONA Deliverable D1.1 (M4)

Applications:
Due to excellent electric properties in conjunction with their chemical insensitivity, CNT-FEDs find
potential application as backlight units for TFT-LCD displays, in lamps and other devices [Lee, 2005].

30/11/2005 Dissemination level : Public Page 182/273


IST-017255 MONA Deliverable D1.1 (M4)

4.2.4.4.2 Surface-conduction Electron-Emitter Displays (SEDs)


A variant type of field emitter display is a surface conduction electron emitter displays (SED). This
type of display was developed by Canon since the mid 80tes. In September 2004 Canon and Toshiba
announced the foundation of a
joint-venture (SED Inc.) aiming for
the production of SED panels [Tec-
channel, 2004]. Canon and Toshiba
presented a 50 inch SED-proto-
type at IFA 2005 in Berlin [Elek-
tronik, 2005] [Toshiba, 2005]. At
SID 2005 details of the manufac-
turing methods, which do not re-
quire semiconductor processes such
as photolithography, have been
disclosed. Most production steps Fig.2.4 8 Schematic setup and working principle for an SED panel. The light-
emission of each sub-pixel controlled by a single electron-emitter. Tiny nano-slits
can be performed using low cost formed in a PdO film, which is coated with carbon, act as electron emitters. A drive
printing techniques [EETimes, voltage causes electrons to tunnel across the tiny gaps, while an accelerating voltage
applied between the bottom electrode and the phosphor coated anode causes the
2003]. In the following section the electrons to be emitted from the nano-slits and directed towards the phosphors
(source: [Toshiba, 2005]).
working principle will be pre-
sented, followed by a description of the manufacturing processes.
Setup and Working Principle:
SEDs efficiently combine the advantages of CRTs and LCDs, but in comparison to CRTs (LCDs)
consume only half (one third) as much energy [Tecchannel, 2004]. SEDs are flat panel displays, which
require no back light unit. Electrons tunnelling across nanoscaled gaps formed in a PdO film are emit-
ted from these “nanoslits” when a voltage is applied. They are accelerated towards the phosphor
coated anode causing the phosphors to emit light. There is only one emitter per sub-pixel. The setup
and working principle for an SED panel are schematically illustrated in Fig.2.4 8.
The core of the technology is the cost-effective production method of the forming of tiny nm-sized
electron emitter gaps without using semiconductor processes such as photolithography. At SID 2005
some details of the field emitter structure have been disclosed. They consist of an array of tiny gaps,
each 4-6 nm in size, formed in the PdO film and the carbon deposited thereon. Details of the manufac-
turing process have been disclosed as well [Neasia, 2005].
The method used to manufacture the gaps combines two processes: conductance forming and con-
ductance activation (Fig.2.4 9). In conductance forming, a pulsed voltage is used to create tiny gaps
in the PdO (palladium oxide) device film printed onto the electrodes using inkjet technology. During
the second process, dubbed conductance activation, an organic gas is introduced into the process
chamber while the pulsed voltage continues to be applied. These processes are executed continuously
in a vacuum.
Conductance forming creates sub-micron sized gaps in the device film. Under hot CVD conditions,
conductance activation causes these gaps to narrow and reach the sub 10-nm range, because the or-
ganic gas breaks down, producing carbon molecules. The latter deposit on the surface of the device
film. Thus a 30nm to 50nm thin carbon film is created, as schematically shown in Fig.2.4 9.
At a certain size, typically between 4-6 nm, the gap size stabilizes because the deposition and evapora-
tion of the carbon molecules reaches a balanced state. The latter can be controlled by organic gas con-
centration and the voltage input to the device film. The company has not disclosed details of the or-
ganic gas used for activation. A schematic 2D projection of an SED-panel with its various components

30/11/2005 Dissemination level : Public Page 183/273


IST-017255 MONA Deliverable D1.1 (M4)

is given in Fig.2.4 10.


The narrower the gap, the greater the electric field density around the gap when drive voltage is
applied, and the greater the
device current (tunnel current
through the gap).
Properties
The glass substrate on the
electron emitter side can be
patterned using relatively
low-cost techniques. Wiring
patterns can be screen-
printed onto the glass sub-
strate and the device film can Fig.2.4 9 In conductance formation a sub-micron scaled gap is created in the PdO device
be formed using inkjet tech- film applying a pulsed voltage. In conductance activation a 30 nm-50 nm carbon film deposits
on the the PdO device film narrowing the gap to a size of 4-6 nm (source: [Neasia, 2005]).
nology. Organic gas is intro-
duced into the process chamber while the device film is conducting to create the gaps
Creating the 4nm to 6nm gaps makes it possible to generate ample electrons from a low drive voltage
of only about a dozen volts. The technology eliminates the need for a driver IC capable of withstand-
ing high voltages, as is required for PDP panels. This fact also helps keep costs down.
Data on the lifetime of SED electron emitters have not been disclosed, but it seems to be determined
by the phosphors, not the electron emitters, since even after 60,000 hours of accelerated test, current
density for the emission current dropped only
by 10%. SED Inc also mentioned the charac-
teristics of the latest electron emitter, such as
the fact that the emission current achieves a
density of 30mA/cm2 for an acceleration
voltage of 10kV. The firm commented that
the electron emission efficiency, which is the
ratio of device current to emission current,
has surpassed 3% [Neasia, 2005].

4.2.4.5 Electrochromic Displays Fig.2.4 10 Schematic 2D projection of a section of an SED panel with
(electronic paper) its various components (source: [Neasia, 2005]).

Working Principle:
Electrochromism refers to materials characterised by color change when an electrical voltage is ap-
plied. Electrochromic materials find application e.g. in window applications or in electronic papers
(e-paper). The latter consist of nanoscaled polymeric structures or nanoparticles sandwiched between
two suitable electrodes.
Approaches to produce electrochromic devices:
There exist different approaches to produce electrochromic devices:
1. One approach is based on thin transparent metal oxide films, such as WO3, usually sputter de-
posited on a conducting glass substrate. Inserting an electrolyte with Li+ or H+ ions and ap-
plying a negative potential to the glass causes a flux of electrons in the WO3 film towards the
interface where they are attracted by positively charged ions. Charge compensation occurs at
the interface causing the Li+ to move into the film. In contrast to solution based systems (de-

30/11/2005 Dissemination level : Public Page 184/273


IST-017255 MONA Deliverable D1.1 (M4)

scribed below) this approach offers a memory capability, since the color does not dissipate
upon removal of the voltage, if the complementary redox species is bound to the counter elec-
trode [Grätzel, 2001].
2. Another approach uses solution based systems, where organic electrochromic materials, such
as viologens, are dissolved in an electrolyte within an electrochemical cell which contains at
least one transparent electrode. The chromophoric viologens can be tuned by chemical synthe-
sis to show different colors with good color intensities. Typically these materials are not col-
ored in their normal oxidation state. Applying a voltage the cell induces redox reactions which
cause the viologens to turn their color. These types of relays have so far been used either in so-
lution or incorporated into a polymeric film.

However both, the solution based and the intercalation processes are rather slow since both
depend on particle diffusion.
3. A variant technology for the production of e-paper is based on nanostructured film electrodes
made of semiconducting nanostructured metal oxide films coated with a self-assembled
monolayer of electrochromic viologen mole-
cules. A schematic illustration of this approach
based on nanoparticles is given in Fig.2.4 11.
Due to the large internal surface area of the
semiconducting film (typically TiO2 nanoparti-
cles) a lot more electrochromic molecules can
be attached to the film in comparison to a
smooth surface covering an equivalent area,
which causes a stronger coloration. The elec-
trochromic molecules are bound to the film sur-
face and can therefore be switched rather
quickly from the colorless state to the colored
state. For display applications the nanostruc-
tured metal-oxide film is micropatterned on top Fig.2.4 11 Basic setup for a nanochromic display [Ntera]
of a glass substrate coated with a conducting
transparent patterned film. A likewise nanostructured counter electrode which provides the
charge to color the electrochromic molecules consists of a doped semiconductor material, such
as (SnO2:Sb). Due to doping the counter electrode is metallically conducting. Furthermore the
counter electrode has high capacitance enabling charge storage during coloration. The latter
results in bistability and low power consumption. Between the two nanostructured electrodes
there is a reflector composed of a nanostructured TiO2 film yielding a white background
whereupon the colored viologens have the appearance of ink on white paper. By varying the
chemical structure and redox potentials of the viologens, it is possible to tune the color and
hence build multi-color electrochromic display devices. The reflector is permeable to ions and
charge compensation occurs via an electrolyte containing an electrochemically inert salt
[Grätzel, 2001]. The switching speed between different color states is in the range of millisec-
onds to seconds [Ntera].

30/11/2005 Dissemination level : Public Page 185/273


IST-017255 MONA Deliverable D1.1 (M4)

E-paper products:
First e-paper products have been realized and
are based on proprietary technologies devel-
oped by Gyricon Media [Gyricon] and E-Ink
[E-Ink]. As illustrated in Fig.2.4 12, the work-
ing principle of the product developed by Gy-
ricon is based on microcapsules with a diame-
ter of 100 microns, with differently colored
semi-spheres. Each microcapsule is contained
inside a polymeric cell in an oily environment
where it can move freely. The microcapsules Fig.2.4 12 Schematic illustration of the working principle of electro-
chromic cells used for the e-paper product developed by Gyricon
are sandwiched between two flexible foils, (source:[Gyricon])
each coated with an electrode. Applying a
defined voltage one of the hemispheres is visible to the observer.
The alternative working principle of the prod-
uct developed by E-Ink is illustrated in Fig.2.4
13 and is based on microcapsules containing
two species of pigmented particles which are
oppositely charged. Applying a voltage either
attracts the positively (e.g. white colored) or
negatively (e.g. black colored) charged parti-
cles towards the transparent electrode.
Products based on the technology using self-
assembled viologens attached to semiconduct- Fig.2.4 13 Schematic illustration of the working principle of electro-
ing TiO2 nanoparticles, as described above, chromic cells developed by E-Ink (source:[E-Ink]).

have been developed by NTERA [NTERA].


Their products, named NanoChromicsTM, are
reported to exhibit a good contrast ratio be-
tween 18:1 and 24:1 at different angles, i.e.
more than four times higher than conven-
tional TN/STN-LCD (TN = twisted nematic;
STN = supertwisted nematic) displays. Fur-
thermore NTERA has proofed that existing
production lines employed for manufacturing
LCDs may be used for the fabrication of high
quality e-paper displays, since many process
steps, such as e.g. the patterning of the glass
panels with a conductive transparent material,
are required for both types of displays. Other
Fig.2.4 14 Production steps for the fabrication of nanochromic dis- processes are replaced (see flow chart
plays, as suggested by NTERA, in comparison to the fabrication of con- [NTERA] in Fig.2.4 14).
ventional TN/STN-LCD displays. LCD production facilities may be used
for the production of naochromic displays, if only a few LCD production
steps are replaced by others. (source: [NTERA]).

30/11/2005 Dissemination level : Public Page 186/273


IST-017255 MONA Deliverable D1.1 (M4)

4.2.4.6 Table: new approaches in display technology:

Device Component Manufacturing Devel- Remarks / Issues Chap-


Technology op- ter
ment
Status
OLED- small - self emissive 4.2.4.3
Display panels - wide viewing angle
based -short lifetime for good brightness
on small - polymer-based OLEDs: cost-
mole- effective production processes
cules: - flexible substrates
produc-
tion

Large
area TV
based
on
PLEDs:
devel-
opment
Glass or polymer-
based flexible
substrate
ITO-electrode sputtering, PVD + 3.2.1.3
subsequent litho-
graphical process 3.1.1.1
OLED light emitting layer, OVPD OVJP 4.2.4.3
(small mole- hole transport layer (under
cule) devel-
opment)
PLED light emitting layer, spin coating, Material is wasted
(polymer hole transport layer or
OLEDs)
inkjet printing (prob. -40-100 nm layers possible 3.2.3
or first issues: inks, polymers
com-
mercial
print
tech-
nology)
screen printing research 3.2.3
Top-electrode Evaporation tech-
type. Ag/Mg:Ag niques;
alloy Spin-coating or
Nanotransfer print- 3.1.2.2.
ing / soft lithogra-
phy
Inor- PECVD Reduce penetration of oxygen and 3.2.1.2.3
ganic/polymeric water
MLs as barrier
layers
(flexible displays)
R2R
QD-OLEDS Thin layer of inor- QD: Solution- research -Narrow emission spectrum of 4.1.2
ganic nanocrystals based methods nanocrystals
(e.g. CdSe) sand- (colloid synthesis) -stability of inorganic nanocrystals

30/11/2005 Dissemination level : Public Page 187/273


IST-017255 MONA Deliverable D1.1 (M4)

wiched between in conjunction with - hybrid organic/inorganic ap-


organic layers, the self-assembly proach 3.2.1.5
latter serving for organic layers: spin
electron / hole coating
transport
CNT-FED Proof- - wide viewing angle 4.2.4.4.1
of- - robust inorganic material
concept issues:
- vacuum sealing
- phosphor efficiency for backlight
applications;
- no commercialized production for
white phosphors for BLU;
- uniformity and controllability of
cathode emitter device depends on
the uniformity of the cathode struc-
ture
Multi-walled car- cathodic arc depo- less defects due to higher tempera- 3.2.1.3.3
bon nanotubes sition, tures
MWNT
3.2.1.2.3
CVD-based tech- only MWNTs (due to only 100°C)
niques,
Single-walled 3.2.1.2.4
carbon nanotubes PECVD 800-1200°C at 10 atm
SWNT CVD (HiPCo),
+
screen-printing +
self-assembly
using CNT-powder
particles mixed
into a paste or an
ink with conduc-
tive or non-
conductive adhe-
sives
ICP-CVD better uniformity during CNT
(inductively cou- production (process under devel-
pled plasma CVD) opment)
process needs nickel nanoparticles
as catalysts

SED 50 inch - Low-cost fabrication techniques 4.2.4.4.2


proto- (large area displays)
type - contrast 8600:1
- no backlight unit
- 60,000 hours of accelerated test,
(current density for the emission
current dropped only by 10%)
-power consumption reduced by
more than 60% (30%) compared to
plasma displays (LCD)
PdO conductive inkjet printing
layer (PdO)
electroforming:
conductance form-
ing
(--> create sub
micron gaps in
PdO)

30/11/2005 Dissemination level : Public Page 188/273


IST-017255 MONA Deliverable D1.1 (M4)

30-50 nm carbon conductance acti-


film vation in presence
of organic gas
narrowing of gaps
to create 4-6 nm
gaps

Electropho- 4.2.4.5
retic Display
(e-paper)
thin transparent Sputter deposition research -Memory capability, if one redox
metal oxide films, species is bound to an electrode
such as WO3, - rather slow intercalation process
+ electrolyte with
Li+ or H+ ions
Organic electro- Solution based research Rather slow diffusion processes
chromic materials, processes
such as viologens, -redox reaction to
dissolved in elec- change color of
trolyte electrochromic
species
Nanochromic nanostructured e.g. Sol-gel method Produc- -Large functional area due to 3.2.1.4
Display mesoporous metal (mesoporous metal tion porous metal oxide layer
oxide films (TiO2) oxide) planned -switching speed milliseconds to
coated with a self- by seconds 3.2.2
assembled + self-assembly of [ntera] -memory capability
monolayer of elec- viologens -production can be realized at ex-
trochromic isting LCD-fabs, just by replacing
viologens are de- some LCD-specific processes with
posited on an ITO- ECD-specific processes (see
coated substrate [ntera] in chapter 4.2.4.5)
Nanostructured e.g. Sol-gel
SnO2:Sb

[Elektronik] IFA 2005: Die total digitale Consumer-Welt - Die Evolution zeigt ihre Kinder, Elektronik-Industrie 9, 50 (2005).

[Amaratunga, 2003] G. Amaratunga, Watching the Nanotube, IEEE Spectrum, special report, Sept. 2003.

[Baughman, 2002] R.H. Baughman, Carbon Nanotubes—the Route Toward Applications, SCIENCE 297, 787 (2002).

[Benziger] www.princeton.edu/~benziger/OVPD.pdf

[Boeuf, 2003] J P Boeuf, Plasma display panels: physics, recent developments and key issues, J. Phys. D: Appl. Phys. 36 R53–R79 (2003)

[Chao, 2005] Printed TVs at your store soon?, http://www.digitimes.com/ , interview with I.A. Chao from CDT / UK, 16/17/18/19 August
2005.

[Coe, 2002] Coe S., Woo W.-K., Bawendi M. und Bulovic V., „Electroluminescence from single monolayer of nanocrystals in molecular
organic devices“, Nature, Bd. 420, S. 800 -803, (2002).

[Crystec] http://www.crystec.com/crylcde.htm

[EEtimes, 2003] EETimes, Canon, Toshiba seed venture for SED flat TVs, http://www.eet.com/at/lae/news/

OEG20031205S0034, 2003.

[E-Ink] http://eink.com/technology/index.html

30/11/2005 Dissemination level : Public Page 189/273


IST-017255 MONA Deliverable D1.1 (M4)

[Fan, 1999] S. Fan et al., Self-Oriented Regular Arrays of Carbon Nanotubes and Their Field Emission Properties, SCIENCE VOL 283, 512
(1999).

[Forrest, 2004] S. Forrest, The path to ubiquitous and low-cost organic electronic appliances on plastic, NATURE, VOL 428, 911 (2004).

[Gao, 2002] Gao M., Sun J., Dulkeith E., Gaponik N., Lemmer U. und Feldmann J., „Lateral patterning of CdTe nanocrystal films by electric
field directed layer-by-layer assembly method“, Langmuir, Bd. 18, S. 4098, (2002).

[Gerstner, 2002] E. Gerstner, Three way liquid crystals, Nature Materials, news and features, 14 November 2002.

[Grätzel] M. Grätzel, Ultrafast color displays, Nature 4009, 575 (2001).

[Gyricon] http://www.wam.umd.edu/~clehman/final/vendors.html

[heise, 2005] http://www.heise.de/mobil/newsticker/meldung/65178 ; ticker news 20.10.2005.

[Ibn-Elhaj, 2001] M. Ibn-Elhaj and Martin Schadt, Optical polymer thin films with isotropic and anisotropic nano-corrugated surface to-
pologies, NATURE 410, 796 (2001).

[Jabbour, 2001]G.J. Jabbour et al., Screen Printing for the Fabrication of Organic Light-Emitting Devices, IEEE JOURNAL ON SELECTED
TOPICS IN QUANTUM ELECTRONICS, VOL. 7, NO. 5, 769 (2001).

[Kim, 2002] J.H. Kim et al., Tristable nematic liquid-crystal device using micropatterned surface alignment, Nature 420, 159 (2002).

[Kottan, 2001] http://kottan-labs.bgsu.edu/teaching/workshop2001/chapter7.htm

[Kyocera] http://americas.kyocera.com/kicc/lcd/notes/backlights.html

[LCI_Kent] [http://www.lci.kent.edu/Lavrentovich/Group.html].

[Lee, 2005] Flat panels go nanotech: Taiwan’s ITRI pioneers CNT-FED, http://www.digitimes.com/ , interview with C.C. Lee from
ERSO/ITRI in Taiwan, 21/24/25 January 2005.

[Litrex] C. Edwards et al, technical papers; Precision Industrial Ink Jet Printing Technology for Full Color PLED Display Manufacturing
(IMID 2003);
Precision Industrial Ink Jet Printing Technology for Full Color PLED Display and TFT-LCD Manufacturing (IDMC 2003);
Gen 7 FPD Inkjet Equipment - Development Status (SID, 2005);
Use of Industrial Inkjet Printing in Flat Panel Displays (IDMC, 2005), Litrex Corp.; web: www.litrex.com .

[MCC, 1998] Colleen Mizuki and Gloria Schuldt, Microelectronics and Computer Technology Corporation (MCC), Computer Display
Industrie and Technology Profile, document as part of DfE Computer Display Project (1998);
http://www.epa.gov/dfe/pubs/comp-dic/tech_reports/

[MIT, 2002] Quantum-dot LED may be screen of choice for future electronics, http://web.mit.edu/newsoffice/2002/dot.html.

[nano-proprietary] http://www.nano-proprietary.com/index.htm?ani.htm

[Neasia, 2005] SED Manufacturing Methods Revealed, Nikkei Asia Electronics, Sept. 2005 issue; http://neasia.nikkeibp.com/neasia/002048

[ntrea] http://www.ntera.com/technology/NteraNanoTech.asp

[Philips, 2004] Philips improves inkjet-printing for large-screen polymer OLED displays, Press release, June 24 2004.

[Pirio, 2001] Pirio et al., Fabrication and electrical characteristics of carbon nanotube field emission microcathodes with an integrated gate
electrode, Nanotechnology 13, 1–4 (2002).

[Robertson, 2004] J. Robertson, Realistic Application of CNTs, MaterialsToday, 46-52, Oct (2004).

[Tecchannel, 2004] Tecchannel, Toshiba und Canon produzieren SED-TV-Flachmänner, http://www.tecchannel.de/

news/hardware/17267/, 2004

30/11/2005 Dissemination level : Public Page 190/273


IST-017255 MONA Deliverable D1.1 (M4)

[Toshiba, 2005] Toshiba revolutioniert mit der neuen Flachbildtechnologie SED das High-Definition-Home-Entertainment, Presseinforma-
tion vom 28 Juni 2005

[Tsutsui, 2002] Tsutsui T., „Applied physics: A light-emitting sandwich filling“, Nature, Bd. 420, S.

752 - 755, 2002.

[Lewis, 2004] J.S. Lewis and M. S. Weaver, Thin Film Permeation Barrier Technology for Flexible Organic Light-Emitting Devices, Se-
lected Topics in Quantum Electronics, IEEE Journal of Vol 10, Issue 1, 45 - 57 (2004).

[Zhu, 2001] C.C. Zhu et al., Flat-panel structure for field emission displays with carbon nanotube cathode, J. Vac. Sci. Technol. B 19(5),
1619 (2001)

30/11/2005 Dissemination level : Public Page 191/273


IST-017255 MONA Deliverable D1.1 (M4)

4.2.5 Photovoltaics

During the last couple of years there is a strong trend to further develop renewable energies due to
depleting fuel resources. Photovoltaics is one of the competing contenders to replace fossil fuels offer-
ing many advantages such as low maintenance. In addition solar cells are relatively environment
friendly. Solar cells based on crystalline silicon, followed by thin-film solar cells based on amorphous
Si or compound semiconductor materials have reached production level. Due to high production costs
and other disadvantages, such as toxicity in the case of some compound semiconductor materials, re-
search now focuses on the development of
alternative materials and concepts. Different
approaches based on organic and inorganic
materials, including nanomaterials, have been
made (see Fig.2.5. 2). Concepts for multi-
layer solar cells have been developed, where
the multilayer stacks are composed of a se-
ries of individual inorganic semiconductor
bilayers, each with a different bandgap to
absorb light of a different region of the solar
spectrum. Alternatively, materials have been
discovered with multiple bandgaps. The ab-
sorption capacity of the latter also covers a
wide range of the solar spectrum. Solar cells
based on inorganic nanoparticles / quantum
dots are being discussed as well. Another
promising approach to replace Si-based solar
cells, are solar cells based on organic dyes Fig.2.5. 1 Overwiew over different types of solar cells.
(photoelectrochemical) and other organic
materials. In a first step, photoelectrochemical cells (“Grätzel cells” or “dye-sensitized solar cells”)
based on organic dyes are aimed to reach production level. In a second step scientists plan to develop
cells which are fully based on organic materials.
Nanomaterials provide promising approaches to existing photovoltaic concepts in different ways.
Nanomaterials can be used e.g. to provide a significantly increased active surface area, as realized in
Grätzel-cells, where semiconducting TiO2 nanoparticles forming a nanoporous material are used in
conjunction with organic dyes. Furthermore, nanostructured surfaces can be employed to enhance the
photon-absorption probability.
On the other hand the conversion efficiency of organic solar cells can be increased by adding inor-
ganic nanoparticles to the polymeric materials.
Quantum-dot sensitized solar cells represent another approach to improve the tunability and optical
properties of solar cells (based on Grätzel cells) by tailoring the size of the nanoparticles.

4.2.5.1 Solar cells based on inorganic materials


Generally the power conversion efficiency limit for a solar cell based on a single semiconductor mate-
rial is 31% (for a single homojunction material) [Walukiewicz, 2002]. The primary reason for this
limit is that no single material can perfectly match the broad range of solar radiation, which has usable
energy in the photon range of 0.4 – 4 eV. Light with energy below the bandgap of the semiconductor
does not have sufficient energy to activate charge carriers and thus will not be absorbed and converted.
Light with energy above the bandgap will be absorbed, but the excess energy above the bandgap will

30/11/2005 Dissemination level : Public Page 192/273


IST-017255 MONA Deliverable D1.1 (M4)

be lost in the form of heat. Decades of research in developing single-material solar cells has led to cell
efficiencies close to the theoretical limit; the best cell of this type has an efficiency of 25.1%.
These loss mechanisms depend on the material used. In addition there are optical losses due to shad-
owing cause by the electrical grid or reflection of the incident light at the cell surface. Furthermore
resistive losses in the semiconductor material or in the metallic contacts are to be expected.
Losses due to contaminations and defects in the crystal structure, as well as surface effects are a big
problem. Therefore high-purity materials are needed causing high production costs.
There exist different approaches addressing the optimization of solar cells and the reduction of loss
mechanisms:
- In order to avoid the reflection of light at the cell surface anti-reflective coatings are intro-
duced. These coatings are typically thin film top layers with a patterned surface, e.g. a pyra-
mid-like structure, which induces multiple incidence of the light.
- Bilayer or multilayer-stack cells with multiple p/n junctions are fabricated to use a broader
spectral range of the incident light. In these stacks different semiconductor bilayers, each with
a different bandgap, are deposited on top of each other. Kaneka Corp. /Japan offers a bilayer
cell (or tandem cell) composed of an amorphous Si-layer (bandgap 1.7 eV) and a micro-
crystalline Si-layer (bandgap 1.1 eV). This cell, with an efficiency of 11-12% transforms pho-
tons in the visible and infrared range of the solar spectrum [Meier, 2002].
- In “concentrator cells” the incident light is focused in order to achieve higher intensities using
mirror and lens systems. The latter can be adjusted to the position of the sun providing direct
radiation. At the Fraunhofer-ISE highest efficiency (> 30 %) dual- and triple-junction solar
cells are being produced containing Fresnel-lenses as concentrator elements with a concentra-
tion ratio between 120 and 500 suns [ise2, 2004].
- P-i-n or n-i-p - inversion-layer-cells are three-layer systems. In inversion layer cells the elec-
tric field between the p-type and n-type layers, stretches across a thin intrinsic (i-type or un-
doped) resistive film in the middle of the stack. Photons absorbed in this region produce elec-
trons which are separated by the electric field. An example is a p-i-n amorphous Si cell.
Amorphous silicon has many atomic-level electrical defects when it is highly conductive. So
very little current would flow if a-Si cell had to depend on diffusion. However, in a p-i-n cell,
current flows because the free electrons and holes are generated within the influence of an
electric field, rather than having to move toward the field [eere].
- „Photon splitters“ serve to better use the energy of highly energetic photons [Trupke, 2002].
Before being absorbed in the solar cell, incident photons with an energy corresponding to at
least two times the bandgap of the solar cell, are converted into two photons with half the en-
ergy by the photon splitter. The latter is a three-level system which produces two photons of
nearly the same energy due to intermediate energy levels. The process is called down conver-
sion.

4.2.5.1.1 Si-based solar cells:


85 % of the currently available solar cells are based on single- (35 %) or polycrystalline (50 %) sili-
con. High reliability and degrees of efficiency of up to 30 % have been obtained for individual cells of
this type in the laboratory. Unfortunately the production process of these cells is very costly and based
on high quality 0.3 mm Si-wafers.

30/11/2005 Dissemination level : Public Page 193/273


IST-017255 MONA Deliverable D1.1 (M4)

For the fabrication of single crystalline Si-solar cells, high purity semiconductor material has to be
used. Single crystalline cylindrical Si ingots are prepared from the molten state under well controlled
environmental conditions. Subsequently these ingots are cut into thin wafer-disks. The production of
polycrystalline Si is less costly. Blocks of liquid Si are formed, which are subsequently cut into thin
wafers. During solidification, crystal structures of different size and orientation form and defects at
their boundaries are inevitable. The latter are responsible for reduced efficiencies of cells based on
polycrystalline material in comparison to single crystalline material. Alternatively polycrystalline Si
wafers can also be produced in in-line manufacturing processes including wet chemical etching and
PECVD steps [Rand, 2003].
Under volume production conditions, solar-cells based on single-crystalline Si achieve efficiencies of
the order of 13 to 18% (polycrystalline cells 11 to 14%). Both cell-types have an average service life-
time of about 25 years. Within this period their power efficiency remains constant.

4.2.5.1.2 Thin-Film solar cell based on amorphous Si


For the fabrication of thin film solar cells based on amorphous Si, thin layers of less than 1 μm are
sufficient. Amorphous Si layers are typically deposited using PECVD. The production process con-
sumes less material and costs are saved in comparison to crystalline solar cells. Moreover, large areas
can be coated consisting of a large number of solar cells, which reduces the number of production
steps. Degrees of efficiency of about 13 % have been achieved for amorphous Si cells in the labora-
tory. Modules composed of this type of solar cells fabricated in volume production achieve efficien-
cies of 5% to 8%. The average service lifetime is about 5-6 years accompanied by a reduction of effi-
ciency. This type of solar cell is being produced industrially for a long time. Due to low production
costs, they find application in small devices, such as alarm clocks and calculators. Due to the low ca-
pacity of amorphous Si to absorb light, there is only a low potential to further optimize the efficiency
of these solar cells.

4.2.5.1.3 Thin-Film solar cell based on compound semiconductors


Similar to thin film solar cells based on amorphous silicon, thin film solar cells based on compound
semiconductors have been developed. These compound materials can be deposited on different sub-
strates such as silica, steel, polymeric materials and others. Compound semiconductor materials cur-
rently used and further developed are Copper-Indium-Diselenide (CIS), Cadmiumtelluride (CdTe),
Cadmiumselenide (CdSe), Cadmiumsulfide (CdS) and Galliumarsenide (GaAs). Solar cells based on
GaAs provide an efficiency of 27 % - 30 %, which is better than other types of semiconductor-based
solar cells (laboratory). However, during production highly toxic semiconductor components, such as
As or Cd, are involved.
Instead of using a single semiconductor material (homojunction) with an n-type and a p-type region
forming a single-junction solar cell, a heterojunction cell has been developed composed of two differ-
ent semiconductor materials, such as CdS (transparent top layer) and CuInSe2 (absorbing bottom
layer) as realized in a CIS cell. The absorbing layer usually has a low bandgap and electrons and holes
are generated near to the junction which helps to separate the electrons and holes before they can re-
combine. Homojunction devices require doping processes to produce n-type and p-type regions and a
semiconductor which can be doped both p-type and n-type. Unfortunately not every semiconductor is
suited to be doped n-type and p-type. Heterojunction devices inherently do not need to be doped, re-
ducing the number of production processes.

30/11/2005 Dissemination level : Public Page 194/273


IST-017255 MONA Deliverable D1.1 (M4)

4.2.5.1.4 Solar cells based on nanoparticles:


Kelley at al. from Kansas state university used GaSe nanoparticles embedded in a transparent polymer.
Corresponding to their size the nanoparticles absorb light in the visible range of the solar spectrum to
produce an electric current. Infrared light is not absorbed and there is no heat radiation causing a re-
duction in efficiency. According to Kelley, solar cells based on nanoparticles are less sensitive to de-
fects than solar cells based on single crystalline material. In the worst case a solar cell based on crys-
talline Si would not work at all due to contaminations, while only a certain percentage of individual
particles is affected by defects and does not contribute to the current in cells based on nanoparticles
[Kelley, 2002].
Another concept for solar cells containing nanoparticles is based on the electrochemical “Grätzel cell”
(see below), which originally contains organic dyes as photon absorbers, sensitizing TiO2 nanocrystal-
lites. Instead of using organic dyes, semiconductor nanocrystallites, such as InP, CdSe, CdS and PbS
particles of different size can be adsorbed at the surface of the TiO2 particles from a colloidal solution
of quantum dots or deposited employing in-situ deposition techniques. Advantages of quantum dot
sensitized solar cells could be the tunabilty of optical properties with the size of the nanoparticles and
better heterojunction formation with solid hole conductors (for further details see e.g. [Nozik, 2003]
and references therein).
Recently Gur et al. presented a concept for an all-inorganic solar cell based on colloidal inorganic
nanocrystals. The researchers used 100 nm thick films of rod-shaped CdSe and CdTe nanocrystals that
were synthesized and prepared separately. The materials were deposited on indium tin oxide glass
using cost-effective solution (here pyridine solution) based methods such as spin coating. The layer
stack was topped by a reflective 0.2 nm Al coating. The research team claims to achieve conversion
efficiencies of their cells of 2.9 % after sintering or fusing the nanocrystals at 400°C in a solution of
cadmium chloride in methanol. This efficiency is comparable to that achieved by solar cells based on
organic materials. In contrast to organic materials, the inorganic nanoparticles are stable in air [Gur,
2005].

4.2.5.1.5 Solar cells based on compound semiconductors


-multiple-junction cells and multiple bandgap cells-
Multiple-junction cells
It was realized quite early that higher efficiencies than in single junction devices could be achieved by
using stacks of semiconductors in multijunction cells, each with a different band gap. In this design,
the upper layers have larger bandgaps converting higher energy photons, but do not absorb lower en-
ergy photons which then pass through to the bottom layers containing lower bandgap materials. This
concept is illustrated in Fig.2.5. 2.
In recent years a new material based on the ternary alloy InGaN, was discovered by a team of the
Lawrence Berkely National laboratory in cooperation with a team of the Ritsumeikan University
/Japan [Walukiewicz, 2002], which may be used in solar cells yielding efficiencies not achieved be-
fore. The material is based on a ternary alloy In(1-x)GaxN with a bandgap of 0.7 eV for x=0. The band-
gap can be tuned changing the ratio between In and Ga: if the cell contains more Ga, energetic photons
up to UV photons are absorbed and transformed to electric current; whereas a larger portion of In en-
ables the absorption of lower energy photons down to infrared photons. Therefore this material pro-
vides an optimal adaptation of the cell to the solar spectrum. Using this material a solar cell is being
developed which is composed of multiple layers. Theoretically an efficiency of about 70 % could be
achieved and according to the research team a bilayer system already has the potential to achieve 50
%.

30/11/2005 Dissemination level : Public Page 195/273


IST-017255 MONA Deliverable D1.1 (M4)

Multiple bandgap cells


In another approach Yu et al. presented a concept
for a multiple-bandgap solar cell based on an al-
loy, zinc manganese tellurium (ZnMnTe). A single
junction of this material may be able to respond to
virtually the entire solar spectrum [Yu,2003].
A solar cell with a simple structure could achieve
an efficiency of about 50 percent or better.
The research team found out, that the multi-
bandgap structure arises due to a few atoms of an
impurity material such as nitrogen. The impurity
atoms are much more electronegative than the host
atoms (i.e. more attractive to electrons) and are
responsible for a narrow energy band, splitting the
conduction band of an alloy such as e.g. GaInAs
into two parts.
Split band gaps account for the electronic peculi-
Fig.2.5. 2 A multijunction device is a stack of individual
arities of highly alloys mismatched due to impu- single-junction cells in descending order of bandgap. The top cell
rity atoms. In most cases the splitting occurs inside captures the high-energy photons and passes the rest of the pho-
tons on to be absorbed by lower-bandgap cells.
the conduction band, with results that are not use-
This multijunction device has a top cell of gallium indium
ful in solar cells. In some materials, however, the phosphide, then a "tunnel junction" to allow the flow of electrons
impurity atoms produce a narrow band well below between
[eere])
the cells, and a bottom cell of gallium arsenide (source:

the conduction band. Adding e.g. oxygen impuri-


ties to the II-VI alloy zinc manganese tellurium, ZnMnTe, produces well defined and widely split band
gaps.
The research team used ion beams to implant the oxygen. Subsequently a pulsed laser was used to
melt the ZnMnTe and recrystallize it rapidly. This process takes less than a microsecond.
The difference between the material's valence band and the lower of the split bands forms one band
gap. Incorporating oxygen impurities in ZnMnTe (-> ZnMnOTe), 1.8 eV photons are absorbed due to
this first gap.
The difference between the two split bands forms a second band gap; in ZnMnOTe, this gap absorbs
0.7 eV photons. A third band gap absorbing 2.6 eV photons forms between the valence band and the

Fig.2.5. 3 Comparison of power efficiency for different types of solar cells (laboratory).
30/11/2005 Dissemination level : Public Page 196/273
IST-017255 MONA Deliverable D1.1 (M4)

upper conducting band.


Together, these three gaps respond to a large portion of the entire solar spectrum. A theoretical effi-
ciency of 57% was calculated for a single-junction solar cell fabricated using this material. However a
series of issues remain to be solved before ZnMnOTe or related highly mismatched materials can be
used in solar cells.
Making p-type and n-type versions of the split-band material does not appear to pose a problem. But
the tricky process of ion implantation followed by pulsed laser melting is not suitable for volume pro-
duction processes. Furthermore the oxygen-implanted layer must be at least 0.5 micrometer thick if the
material is to absorb all incident solar photons —more than twice the 0.2-micrometer thickness
achieved so far.
A comparison of the efficiencies for different types of semiconductor-based solar cells, as obtained in
the laboratory, is given in Fig.2.5. 3.

4.2.5.1.6 Solar cells based on quantum dots:


Recently a new optoelectronic device has been developed, which is basically a photo diode where the
active region is composed of a single quantum system [Zrener, 2002]. The latter being a quantum dot
composed of III-V or II-IV semiconductor materials, e.g. InGaAs, which is embedded in a GaAs or a
polymer matrix. With a diameter of about 20 nm and a height of about 5 nm, the optical and electrical
behavior of such structures is imposed by quantumechanical laws. Photo diodes based on quantum
dots may have significant impact on quantum information technology, but also solar cells based on
quantum dots have been discussed (see also [Nozik, 2003]).

4.2.5.2 Alternative concepts based on organic materials:


In order to cover the huge demand for energy resources in the future, the production costs of solar cells
have to be significantly reduced and their efficiencies have to be increased. Due to the huge amount of
high-purity Si required for the production process, the potential to improve Si-based technology is
low. Therefore alternative concepts are being investigated based on conjugated polymers or organic
dye molecules [ISE, 2004]. Concerning the production process, these light weight materials provide a
lot of advantages over conventional inorganic semiconductor materials, such as low production costs,
simple and large-area production processes, the possibility of fabricating flexible devices and their
environmental friendliness. In addition the absorption spectrum and thus the electronic properties of
organic materials are tunable over a wide range. Dye-sensitized photoelectrochemical cells (DSSCs or
“Grätzel cells”) are a promising approach and will be treated in the following section, followed by
solar cells based on organic polymers.

30/11/2005 Dissemination level : Public Page 197/273


IST-017255 MONA Deliverable D1.1 (M4)

4.2.5.2.1 Dye-based solar cell ("Grätzel-cell")


In contrast to conventional solar cells, the semiconductor at the p/n interface, which is used for the

Fig.2.5. 4 Schematic setup and working principle of a solar cell containing a dye –sensitized TiO2 base electrode, a platin coated counter-
electrode and an electrolyte containing a suitable redox couple for current transfer (source:[Longo, 2003]).

absorption of photons is replaced in a Grätzel-cell by a dye (see e.g. [Grätzel, 2001]). The classical
solid state solar cell converts the absorbed solar energy in electrical energy using a well designed
semiconductor structure. In contrast, the dye-based electrochemical cell employs organic dyes for
photon-absorption, such as various Ruthenium complexes. Primary charge separation is realized at the
surface of an highly porous semiconductor layer, typically composed of nanoscaled TiO2 particles,
with a large bandgap.
Setup:
The setup is schematically illustrated in Fig.2.5. 4. A porous high surface area oxide layer (typically
TiO2), composed of nanocrystallites with diameters between 10-30 nm, is deposited on a conducting
glass, such as fluorine doped tin oxide, and subsequently sintered at about 450°C. Electrons can then
flow through the individual nanocrystals to reach the conducting substrate (collector electrode). In a
solution-based process a monomolecular layer of the photon-absorbing organic dye is adsorbed on the
semiconductor surface. The highest efficiencies (> 10 %), reported for a Grätzel cell, were achieved in
the laboratory using Ruthenium complexes, called “N3” and another complex, denoted as “black dye”
[ORegan,1991]. The regeneration electrode typically consists of conducting platinum coated SnO2. A
highly conducting electrolyte containing a suitable redox couple such as I3-/I-, is used to provide elec-
trical contact between the photosensitive dye/TiO2/SnO2 system and the counter-electrode.
Working principle:
The dye absorbs the incident radiation and the resultant photo-excited state injects an electron into the
TiO2. The dye is rapidly regenerated from its oxidized state by electron transfer from I-. The photoin-
jected electrons diffuse to the back contact where they are collected at the fluorine doped tin oxide
electrode. The regenerative circle is completed by reduction of I3- at the platinum electrode.
Properties and issues:
Over the past years progress in the development of dye-based solar cells has been achieved mainly in
terms of stabilization aspects, while the degree of efficiency has only slightly improved [Grätzel,
2000]. The main attraction of dye-based organic solar cells lies in cost effective production techniques
based on wet chemical processes, such as screen printing, the economical use of the more expensive

30/11/2005 Dissemination level : Public Page 198/273


IST-017255 MONA Deliverable D1.1 (M4)

materials, such as dyes and platinum, and relatively high degrees of efficiency even for the case of
diffuse incidence of light or elevated temperatures. Relatively low costs of the solar modules of < 1US
$/PeakWatt have been predicted. The stability of dye-based solar cells over time is not yet clear. Based
on experiments performed on test cells in a time period of several months and under extreme condi-
tions concerning the irradiation intensity and temperature, a cell-lifetime of several years has been
postulated. It seems, that the stability of the cells strongly depends upon the chemical purity of the
electrolyte as well as upon the quality of the seal used, since organic materials are very sensitive to
oxygen and water. Progress in nanotechnology already enables relatively high absorptions of light,
which leads to degrees of efficiency of the order of about 8%: Increasing the efficiency up to 12%
seems to be achievable in the near future.
Dye sensitized solar cells (DSSCs) which are based on low cost materials and simple construction, to
date suffer from limited operating lifetimes due to the degradation of the sensitizer dyes. Recently
Solaris Nanosciences, a company located in Providence, Rhode Island, demonstrated a completely
rechargeable DSSC.
The company developed a non-toxic chemical process which allows one to remove and replace the
degraded dye in DSSCs already installed. The performance of the original solar cell can thus be re-
stored using a low cost process. Representatives of the company claimed that the process can be per-
formed by heating and air conditioning processes, requires less than thirty minutes and takes the oper-
ating life of these devices beyond that of silicon to over 30 years. The recharging process and its
performance were independently confirmed at the Swiss Federal Institute of Technology Lausanne,
where DSSCs were invented by M. Grätzel.
Solaris plans to develop a large scale prototype of its rechargeable solar cell for testing at the National
Renewable Energy Laboratory (NREL) and with corporate partners to explore the distribution of this
new photovoltaic technology through the building and construction industry [Solaris, 2005].

4.2.5.3 Organic solar cells


Working principle:
In an organic solar cell composed of different organic components the donator component absorbs
incident photons to produce electrons, which are accepted by the acceptor component. After charge
separation of the electron-hole pairs at the donor-acceptor interface, the charge is conducted to the
respective electrodes yielding the photocur-
rent. Suitable donator/acceptor pairs are
e.g. Zn-Phthalocyanine/C60 fullerene or
molecular combinations of
Corones/Perylenes.
Bilayer and Bulk heterojunction polymer
solar cell:
Pure organic solar cells represent a promis-
ing approach in terms of cost-effective pro-
duction methods. The constituents of the
Zn-Phthalocyanine (ZnPc)/C60-fullerene
system can be composed forming either a Fig.2.5. 5 Different concepts to set up polymeric solar cells. Left:
Bilayer donor/acceptor cell; Right: Bulk Heterojunction cell (source: S.
heterostructure in a bilayer stack or a ho- Sariciftci).
mogeneous mixture in a single layer. The
setup is schematically illustrated in Fig.2.5. 5.

30/11/2005 Dissemination level : Public Page 199/273


IST-017255 MONA Deliverable D1.1 (M4)

Bilayer cell – setup and preparation:


In a donor/acceptor bilayer structure layer thicknesses of typically 30 nm for the ZnPc and 50 nm for
the C60 have proofed to be suitable [Peumans, 2001],[Fostiropoulos, 2002],[Meissner, 2000]. A 50 nm
PEDOT:PSS (poly(ethylene dioxythiophene) doped with polystyrene sulphonic acid) layer, which is a
frequently used conductive conjugated polymer, is spin cast on an ITO-coated substrate. This layer
enhances the electrical contact between the ITO and the ZnPc-layer and avoids short circuiting due to
roughness at the ITO-surface. An Aluminium layer typically serves as counter electrode. The organic
layers and the Al are usually deposited under ultra-high-vacuum conditions.
Bulk-Heterojunction cell – setup and preparation
A semitransparent 100-150 nm PEDOT layer is deposited on an ITO-coated substrate. It follows a
homogeneous mixture (about 100 nm) of the absorbing/active material consisting of a conjugated
polymer / C60 derivates (such as e.g. MDMO-PPV/PCBM = poly(2-methoxy-5-(3,7-
dimethyloctyloxy)-1,4-phenylene vinylene) /(6,6-phenyl C61-butyric acid methyl ester) [Brabec,
1997], [Sariciftci, 2001]. Depending on the area of the substrate to be coated, different deposition
techniques may be employed. Polymer based solar cell can be prepared using solution techniques.
Small area devices (i.e. a few square centimeters) may be produced using spin coating. Layer thick-
nesses between 100 nm and a few millimeters can be achieved using this technique. Larger areas are
prepared using printing techniques such as screen-printing yielding typically layers with a thickness on
the micronscale. G. Jabbour and his team developed a method based on inkjet printing which may be
applicable to produce polymer-based solar-cells [Shaheen, 2001].
After the active layer a Ca or Al cathode is evaporated.
In a variant approach the C60 fullerenes have been replaced with nanocrystalline CuInSx and a bulk-
heterojunction cell was successfully realized [uni-oldenburg].
Properties and Issues:
It has been demonstrated that the electrical
properties of the photocells strongly depend
on the purity of the materials used. In order to
avoid contaminations of the layer, typically
those materials are used, which can be evapo-
rated under high vacuum conditions. The
currently achievable power efficiency of
these solar cells is of the order of 3.5 %. It is
aimed to further improve the efficiency opti-
mizing the cell structure using nanostructures.
As an example, at Fraunhofer ISE, a new
method is being developed to overcome the
limitation of hole mobility in the case of an
organic hole conductor by introducing a
nanostructured electrode [ISE, 2004]:
The concept of buried nanoelectrodes is
Fig.2.5. 6 Top: Schematic setup of the concepts based on buried nano-electrodes;
based on an electrode configuration such as bottom: cross-sectional SEM-image (source:[ISE, 2004]).
illustrated in Fig.2.5. 6. One of the electrodes
is planar. The other electrode is orientated perpendicular to the substrate surface forming a lamellar
structure. Low mobility charge carriers (holes) are collected at the lamellar electrodes whereas high
mobility charge carriers (electrons) are collected at the planar electrode.

30/11/2005 Dissemination level : Public Page 200/273


IST-017255 MONA Deliverable D1.1 (M4)

Liquid-crystal polymer solar cell


Another type of organic solar cells has been developed, which is based on disk-like Hexabenzocorone
(HBC)- molecules and needle-like Perylene-dye molecules. Both materials are good electric conduc-
tors with slightly differing electron affinities. Mixing these two material leads to a self-assembled
process, where a solar cell forms consisting of two thin films. This process is simple, cost- and energy
efficient and thus seems to be another promising approach in terms of volume production. A possible
production method could be inkjet printing. In the laboratory a solution containing the two materials
mentioned above, were spin cast to a silicon wafer forming an ultrathin film. It turned out that the
surface of the film mainly contained Perylene, while the underlying layer was mainly composed of
HBC stacks. That means, basically two separate layers have formed being in contact at the interface.
Irradiating this two-layer system, it acts as a solar cell: Upon irradiation positive and negative charges
are separated, which are conducted towards the respective electrodes yielding a photocurrent. It has
been reported [Schmidt-Mende, 2001] that 30% of the incident light was transformed to electric en-
ergy using this type of solar cell, which is one of the highest values ever reported for organic solar
cells. Production costs of organic solar cells, which are surrounded by polymeric materials can by
about 60 % when compared to conventional Si-solar cells, since solution based methods, such as print-
ing techniques can be used for their fabrication. In addition their weight is reduced by 50%. However
to date their efficiency is only about 1/9 compared to conventional solar cells. This low efficiency is
compensated by low costs of material and production.
Hybrid solar cells:
In order to improve the conversion efficiency of pure organic solar cells, experiments have been per-
formed on hybrid solar cells. The latter are composed of semiconducting materials and inorganic
semiconducting structures, such as e.g. CdSe or CdAs nanorods. It is aimed to increase the efficiency
simply by using less polymeric material and more inorganic material [Alivisatos, 2002].
Manufacturing techniques:
Low cost solution-based printing or spin coating technologies can be employed on large area sub-
strates in order to deposit polymeric material. Molecule-based materials such as organic dyes usually
require vacuum conditions and evaporative techniques. Metal electrodes are typically sputter deposited
or evaporated. Nanoparticles can be produced by colloidal synthesis and deposited in solution-based
processes. Flexible substrates can be used. Organic solar cells can largely be recycled.

30/11/2005 Dissemination level : Public Page 201/273


IST-017255 MONA Deliverable D1.1 (M4)

4.2.5.4 Table: new approaches in photovoltaics

Device Component Manufacturing Power Effi- Devel- Remarks Chap-


Technology ciency op- ter
ment
Status
Homo- a single semi- some semiconduc-
junction conductor mate- tor materials are
rial with n-type not suited to be
and p-type re- doped both n-type
gions and p-type
Hetero- two different inherently need not
junction semiconductor to be doped
materials
Multi- stack of several - cover a broader
junction p/n junctions spectral range
- increase the effi-
ciency
comp. semi. typically MOCVD / - often toxic mate-
(thin films) LPE rials are involved
- good efficiencies
Nanopar- - fabrication: colloid - by changing the
ticles synthesis size of the nanopar-
- deposition: solution ticles the absorp-
based tion range can be
tuned
Inorg. - High temperatures
Solar 400-1400°C,
Cells - vacuum condition
+lithographical steps

Thin Film- a-Si/a-SiGe roll-to-roll processing 21.1 % (lab) labora- - for high-speed 4.2.5.1
Si on stainless steel tory production

Com-
pound
Semicon-
ductors
Multi- tandem MOCVD (also MBE) >30 % indus- MOCVD preferred 4.2.5.1
junction GaInP/GaAs + LPE (lab. cell at trial for production over
concentrator 150 suns) pilot MBE
23-25 % (test- - highly toxic com-
modul) ponents
- costly precursors
- only very specific
applications
GaInP/GaInAs/G MOCVD /LPE >35 % 4.2.5.1
e triple-junction (lab. cell at
+ concentrator 150 suns)
goal: 28 %
(test-modul)
Al- GaAs with DBR MOCVD 24,2 % - GaAs (active 4.2.5.1
GaAs/Ga AlGaAs/ GaAs (AM1.5) layer) grown on
As Sin- with 22 % (AM0) - inactive backside
gle- TiO2/MgF2 AlGaAs/GaAs
junction DBR (70 % reflec-
tivity for light close

30/11/2005 Dissemination level : Public Page 202/273


IST-017255 MONA Deliverable D1.1 (M4)

to bandedge of
GaAs)
- TiO2/MgF2 (anti-
reflection coating)
Dual- MOVPE 28.85 % 4.2.5.1
junction
Al-
GaAs/Ga
As
CdTe 16 % (lab) indus- 4.2.5.1
trial
pilot
Cu-In-Ga- heterojunction sputtering (Mo, ZnO) 16.4 - 18.8 % indus- No doping required 4.2.5.1
Dise- evaporation (In, Ga, (lab) trial
lenide in Se, Cu) 12.1 % (mod- pilot
CIGS (or coating (CdS) ules)
CIS with
transp.
CdS
layer)
multi- ZnMnTe doped ion beam (oxygen -potentially - con- - three bandgaps 4.2.5.1.
bandgap with O (replac- implantation)+ pulsed 57% cept - n-type and p-type 5
ing ~2% Te) laser melting (rapid - fabri- versions of the
solidification of the cation alloy seem not to
molten ~ 200 nm not cause problems
ZnMnOTe) suitable - thickness of oxy-
for gen doped layer
volume has to be at least
produc- 0.5 µm, but so far
tion only 0,2 µm were
achieved
multi- In(1-x)GaxN Potentially 50 concept - bandgap can be 4.2.5.1
junction % for dual tuned in a wide
junction, range
76 % for 36 - potentially cover
junctions the full spectrum
All- ITO/NC/Al Colloidal synthesis 2.9 % lab - rather cheap prod. 4.2.5.2
inorganic spin coating techniques for
solar cell + evaporative tech- functional layer
with niques for metal - Stability of NCs
CdSe, electrodes
CdTe NC
sensitized lab
Solar
cells
Dye sen- TiO2 + dye - Sintering to produce 11 % (lab) - proto- -Sensitive to trans- 4.2.5.2
sitized highly porous TiO2 8% (prod. (?)) types form diffuse light
solar cell (10-30 nm) - pilot- -cost effective
(DSSC) - Wet chemical proc- prod. in -Life-time: not yet
(Grätzel) esses and screen prepara- clear; most likely a
printing to apply dyes tion couple of years

-Completely re-
chargeable cell:
prog. 30 years of
lifetime
QD- TiO2 + QD Sintering and solu- concept shown for: InP, 4.2.5.1
sensitized tion based techniques CdSe, CdS, and
solar cell to deposit QD PbS NCs

30/11/2005 Dissemination level : Public Page 203/273


IST-017255 MONA Deliverable D1.1 (M4)

organic- Solution based meth- only around -cost-effective 4.2.5.3


solar ods, screen printing 1% production meth-
cells ods
-Inorganics with
higher carrier mo-
bilities, avoid re-
combination
-Organic carrier
mobilities < 10-
4
cm2V-1s-1
Organic research 4.2.5.3
solar
cells with
metal
elec-
trodes
Polymer- research 4.2.5.3
based
solar
cells with
metallic
contacts
Bilayer (ZnPc)/C60 UHV molecule 3.5 % (lab) research 4.2.5.3
Metal electrodes based: evaporation
techniques
Bulk- MDMO- Spin-coating (100nm (2.5 - 4.5)% research 4.2.5.3
hetero- PPV/PCBM – x m), (lab)
junction =conj. Poly- screen-printing (large
mer/C60 deriva- area) Improvement of
tive efficiency using
buried nanoelec-
trodes to overcome
low hole-mobility
Hybrid CdSe nanorods Solution based as- 1.7 % (lab) research 4.2.5.3
Solar cell (7nm*60 nm) sembly; colloidal s
+polymer

Metal electrodes Evaporation, sputter-


ing

[Alivisatos , 2002] A. Paul Alivisatos et al., Science, 295, 2425 (2002).


[Brabec, 1997] J. Brabec, N. S. Sariciftci, "Solarzellen aus Plastik - Neue Perspektiven für die Photovoltaik", Erneuerbare Energie 2/1997.

[Brabec, 2002] Ch. J. Brabec, S. N. Sariciftci, Monatshefte für Chemie 132, 421-431 (2001).

[eere] http://www.eere.energy.gov/solar/solar_cell_structures.html

[Fostiropoulos, 2002] K. Fostiropoulos, M. Vogel, W. Harneit, B. Mertesacker, A. Weidinger, Statusbericht 2002.

[Grätzel, 2001] M. Grätzel, Electrochemical cells, Nature 414, 338 (2001).

[Grätzel, 2000] M. Grätzel, Prog. in Photovoltaics: Research & Applications 2000, 8, 171-185.

[Gur, 2005] I. gur et al., Air-Stable All-Inorganic Nanocrystal Solar Cells Processed from Solution, Science 310, 462 (2005).

[ISE, 2004] http://www.ise.fhg.de/german/solarlinks/index.html .

[Longo, 2003] C. Longo and M-A. De Paoli, Dye-Sensitized Solar Cells: A Successful Combination of Materials, J. Braz. Chem. Soc., Vol.
14, No. 6, 889-901, 2003.

30/11/2005 Dissemination level : Public Page 204/273


IST-017255 MONA Deliverable D1.1 (M4)

[Kelley, 2002] V. Chikan and D. F. Kelley, Carrier Relaxation Dynamics in GaSe Nanoparticles, Nanoletters 2, 1015-1020 (2002).

and Synthesis of Highly Luminescent GaSe Nanoparticles, Nanoletters 2, 141-145 (2002).

[Meier, 2002] H. Meier, Vortragsunterlagen vom 23.04.2002 im Rahmen der Reihe "Nachhaltige Energietechnik" am NTB;
www.ntb.ch/WB/2002/VortragsreiheEnergie.

[Meissner, 2000] D. Meissner, Y. Shirota et al., Solar Energy Materials and Solar Cells 61 (2000) 1 and 87; ibid 63 (2000) 37.

[Nozik, 2003] A.J. Nozik, Advanced concepts for Photovoltaic Cells, NCPV and Solar Program Review Meeting 2003, NREL/CD-520-
33586, p.422.

[OReagan, 1991] O'Regan, B. Grätzel, Nature, 352, 737-740 (1991).


[Peumans, 2001] P. Peumans, S.R. Forrest, Appl. Phys. Lett. 79 (2001) 126.

[Rand, 2003] J.A. Rand and J.S. Culik, APexTM Solar cell manufacturing, NCPV and Solar Program Review Meeting 2003, NREL/CD-520-
33586, p.597.

[Sariciftci, 1992] N. S. Sariciftci, L. Smilowitz, A. J. Heeger and F. Wudl, Science 258, 1474 (1992).
[Sariciftci, 1994] N. S. Sariciftci and A. J. Heeger, Intern. J. Mod. Phys. B 8, 237 (1994).
[Sariciftci, 2001] N. S. Sariciftci et al. Adv. Funct. Mater. 11, 15-26, (2001).

[Schmidt-Mende, 2001] L. Schmidt-Mende, A. Fechtenkötter, K. Müllen, E. Moons, R. H. Friend, J. D. MacKenzie, Science, 293, 1119,
(2001).
[Shaheen, 2001] S. E. Shaheen, R. Radspinner, N. Peyghambarian, G. E. Jabbour et al., Appl. Phys. Lett. Vol. 79, No 18, 2996-2998, (2001).
[Solaris, 2005] http://www.solarisnano.com/
http://www.azonano.com/news.asp?newsID=1341; posted 31st August 2005.

[Trupke, 2002] T. Trupke, M.A. Green, P. Würfel, Journal of Applied Physics 92, 1668 (2002).

[uni-oldenburg] www.physik.uni-oldenburg.de .
[Walukiewicz, 2002] J. Wu, W. Walukiewicz, K. M. Yu, J. W. Ager III, E. E. Haller, H. Lu, W. J. Schaff, Y. Saito, and Y. Nanishi, Appl.
Phys Lett. 80, 3967-3969 (2002); http://www.lbl.gov/msd/PIs/Walukiewicz/02/02_8_Full_Solar_Spectrum.html
[Yu, 2003] K.M. Yu et. al, Diluted II-VI Oxide Semiconductors with Multiple Band Gaps, Phys.Rev.Lett. 91, 246403 (2003).

[ISE2, 2004 ] http://www.ise.fraunhofer.de/english/fields/field2/mb5/concentrator/conc.html (concentrator, MOVPE, LPE)

[Zrener, 2002]A. Zrenner, E. Beham, S. Stufler, F. Findeis, M. Bichler, G. Abstreiter, Nature 418, 612 (2002).

30/11/2005 Dissemination level : Public Page 205/273


IST-017255 MONA Deliverable D1.1 (M4)

4.2.6 Single-Photon-Systems

At the core of quantum optics is the recognition that light is not a classical wave, but rather a quantum
entity with both wave and particle aspects. Nanotechnology is a key driver for the technical control of
the quantum nature of light and therefore paves the road for new applications in the field of optics. For
example it is impossible to observe a photon in its quantum state without altering that state. So anyone
who eavesdrops on a message encoded in quantum particles will alter the message and reveal the secu-
rity breach. If one person sends a key to another person, using quantum cryptography, and both ensure
that no one can intercept it, they can safely use this key to encrypt their communications. Quantum
cryptography requires sending only one photon at a time. If you had two photons per pulse or more, in
principle someone could use a beam splitter and take a couple of those photons and you wouldn't
know it.
Therefore, the development of cheap, reliable and easy to use single photon sources and detectors are a
prerequisite for commercial applications of quantum cryptography. Other possible applications for
single photon systems are medical imaging: for instance, single photons are detected in PET and CT
scanners and, more recently, for laser optical imaging; Lifetime fluorescence measurements using
single photon counting is also used in the diagnosis of some medical conditions; Analytical chemistry
for determining the chemical recipe of samples; Laser ranging, tracking and imaging for industrial
scanning and process control.
Single Photon Sources
Optical excitation
Single Photons can be generated by the stimulation of a single quantum system. The most common
systems are therefore single atoms or ions. Nevertheless, the realization of such single photon sources
is quite elaborate and is therefore mainly applied in basic research. For practical applications it is more
promising to use single quantum states in solids.
For example, quantum dots in semiconductors are also refered to as artificial atoms. Therefore it is
obvious that quantum dots could be used as single photon sources. Fig. 2.6. 1 shows a schematic fig-
ure of the first structure that demonstrated single photon emission from semiconductor quantum dots.
The samples were grown by molecular beam epitaxy (MBE) on a semi-insulating GaAs substrate. The
microdisk structure consists of a disk 5 μm in diameter and a 0.5 µm Al0.65Ga0.35As post. The disk area
consists of 100 nm of GaAs, an InAs QD layer, and 100 nm of GaAs. The QDs were grown by means
of the partially covered island technique, with a gradient in the QD density reaching from ≤ 108 cm-2 to
∼1010 cm-2 across the sample wafer. The QDs possess a diameter of ∼40 to 50 nm and a height of ∼3
nm, emitting in the wavelength range from 920 to 975 nm [Michler, 2001].
Optical pumping is performed with a mode-locked femtosecond (∼250 fs) Ti:sapphire laser, operating
at 750 nm. The detected photoluminescence of the samples revealed a single photon stream with a
repetition rate of 82 MHz at a temperature of 36 K. Using a cavity-enhanced radiative recombination
rate single-photon pulses at a repetition rate of 1 GHz could be achievable. The operating temperature
of the single-photon source can be easily extended to T = 77 K. Room temperature operation could in
principle be achieved by using QDs with higher confinement potentials to suppress non-radiative car-
rier losses into the barriers.

30/11/2005 Dissemination level : Public Page 206/273


IST-017255 MONA Deliverable D1.1 (M4)

Due to the microdisc


setup the radiation
of the disk is head-
ing towards all di-
rections in the plane
of the disk. There-
fore the collecting
efficiency of the
photons generated is
Fig. 2.6. 1 Left: The microdisk structure, which consists of a 5 µm-diameter disk and a 0.5 µm post.
The GaAs disk area that supports high-quality factor WGMs is 200 nm thick and contains InAs quantum
reduced.
dots [Michler, 2000]. Right: Quantum dots embedded in this disk emit light when hit with a laser. Re-
searchers can filter out all but the last photon in order to reliably produce just one photon per laser pulse A micropost setup
[Allen, 2001].
avoids this disad-
vantage (see Fig.
2.6. 2). The photons are emitted only perpendicular to the sample surface.

Planar Distributed Bragg Reflector (DBR) microcavities containing self-assembled InAs QD’s were
grown by MBE. The DBR mirrors consist of alternating quarter-wavelength thick layers of GaAs and
AlAs, separated by a one wavelength- thick spacer layer of GaAs. The reflectivity of the bottom DBR
was designed to be significantly higher than that of
the top DBR, so that almost all of the light in the
cavity escapes upwards rather than downwards. The
QD’s were grown at the center of the spacer layer.
They are islands of InAs formed by a strain-induced
self-assembly process. The islands were grown with
a low areal density by using a high substrate tem-
perature and by stopping InAs deposition shortly
after island formation. Following the growth, mi-
croposts were etched out of the sample. A bilayer
resist was exposed using an electron beam and was
subsequently used to lift off a thick nickel mask.
The sample was then etched using a low-pressure
electron-cyclotron-resonance plasma of chlorine Fig. 2.6. 2 (a) Scanning-electron microscope (SEM) image of
a micropost microcavity with a top diameter of 0.6 µm and a
and boron trichloride in a background of argon. The height of 4.2 µm. (b) Color-scale representation of the amplitude
of the electric field for the fundamental mode of the micropost
etch was divided into three stages; in each subse- microcavity, as calculated by the finite-difference time-domain
quent stage, the flow rate of chlorine and the proc- method. The profile of the modelled post matches the profile of
the real posts as measured from SEM images [Pelton 2002]
ess pressure was decreased. The sample was cooled
to an initial temperature of about 3 °C before the etch was started. Fig. 2.6. 2 (a) shows a scanning-
electron microscope image of a typical etched micropost. Light in the post is confined vertically by the
DBR’s and laterally by total internal reflection.
For optical measurements, pulsed laser light with a photon energy larger than the GaAs band gap was
directed towards the micropost. The sample was held in a liquid-helium cryostat at a temperature of
approximately 5 K, so that the created carriers were rapidly trapped by the QD and quickly relaxed to
the lowest-energy confined states.
The emitted photons had a wavelength of 855 nm. The emission rate from the dot was enhanced by a
factor of 5.8, so that 83% of the emitted light was coupled into a single cavity mode. The majority of

30/11/2005 Dissemination level : Public Page 207/273


IST-017255 MONA Deliverable D1.1 (M4)

this light escaped into a single-mode, Gaussian-like traveling wave, resulting in an external quantum
efficiency of approximately 38%.
Electrical Excitation, single photon LEDs
The triggering of single-photon sources by lasers is a cumbersome and expensive arrangement that
would be difficult to achieve outside the laboratory. Devices like LEDs which are driven by a voltage
are more robust, compact and would be cheaper to
manufacture.
One type of a single-photon light emitting diode
(LED) contains a layer of quantum dots surrounded
by layers of semiconductor material (Fig. 2.6. 3).
Each quantum dot, which is a speck of semiconduc-
tor material about 20 nm in diameter, holds a single
electron when a voltage is applied to the device.
When the negatively- charged electron combines
with a positively-charged hole in the quantum dot,
it releases the energy as a single photon. The diode
is capped by a metal layer with a series of small
openings that block all but a single quantum dot per
opening. By pulsing electrical current through the
device, the researchers cause the quantum dots to
Fig. 2.6. 3 (A) Schematic of the single-photon– emitting
emit one photon per pulse. The device can theoreti- diode in cross section. (B) Image of the device in an optical
cally emit a photon every half a nanosecond. The microscope. (C) Atomic force micrograph of a quantum dot
layer grown under similar conditions to those in the device
prototype operates at 5 K [Yuan, 2002]. [Yuan, 2002].

Fiore et. al have found a way to make extremely


small light-emitting diodes that could lead to diodes
so small they could be single-photon sources [Fiore, 2002].
The ultrasmall light-emitting devices with active areas well below 1 µm2 rely on three points:
(a) Fabrication of current apertures in the ≈100 nm range using standard optical lithography, selective
oxidation of AlGaAs and self-aligned contact definition; (b) optimized current injection in the active
region using a graded-band-gap hole injector; and (c) suppression of lateral carrier diffusion by the use
of self-assembled QDs with deep three-dimensional (3D) confinement potential. Using this approach,
QD LEDs with characteristics that scale well with nominal size down to 600 nm at room temperature
(RT) were demonstrated, showing that lateral current spreading and carrier diffusion are effectively
suppressed even at RT. This could open the way to high-performance, ultrasmall QD-based LEDs and
VCSELs, and ultimately high-efficiency single-photon LEDs.
Bottom-emitting microcavity LEDs with a single layer of self-assembled QDs were grown by MBE on
n-doped (001) GaAs substrates (see the sketch in Fig. 2.6. 4). The bottom mirror consists of a 3.5 pe-
riod n-doped GaAs/Al0.9Ga0.1As quarter-wave stack. The cavity is made of 20 nm n-Al0.3Ga0.7As, 114
nm n-GaAs, 45 nm undoped GaAs, a layer of QDs, and 45 nm undoped GaAs. The QDs are grown by
continuously depositing 2.9 ML of InAs and covering with a 5-nm-thick In0.15Ga0.85As layer to redshift
the emission to the 1.3 µm region. These growth conditions produce QDs with 25 nm diameter and 7
nm height, providing a strong RT photoluminescence peak at 1300 nm, with a full width at half maxi-
mum (FWHM) = 45 nm. As compared to short-wavelength (≈1000 nm) QDs, these dots have a larger
potential barrier to carrier escape from the dot ground state to the wetting layer (the difference in tran-
sition energies is ≈300 meV as compared to ≈100 meV for short-wavelength QDs). On top of the cav-

30/11/2005 Dissemination level : Public Page 208/273


IST-017255 MONA Deliverable D1.1 (M4)

ity, a hole injector is grown, consisting of a 32-nm-undoped graded-band-gap region with Al composi-
tion linearly increasing from 0% to 85%, and a 135 nm Al0.85Ga0.15As current aperture to be oxidized.
The bottom 20 nm in the Al0.85Ga0.15As layer are undoped, while the rest is p doped (p = 2×1018 cm-3).
The structure is capped by a heavily doped GaAs p-contact layer. The injector is designed so as to
facilitate vertical hole transport from the Al0.85Ga0.15As aperture region to the QDs by avoiding poten-
tial steps at heterointerfaces in the valence band and by providing a potential gradient directed towards
the active layer. The doping profile was also found to be critical.
Device processing starts with the definition of shallow mesas of variable diameter (1–30 µm) by opti-
cal lithography and wet etching of the top GaAs cap layer. The exposed Al0.85Ga0.15As is then oxidized
selectively by heating the sample at 400 °C for 55 min in a H2O atmosphere created by bubbling N2 in
a water bath at 85 °C. The oxidation starts at the exposed surface and then penetrates laterally under
the GaAs cap layer, thus defining a current aperture whose diameter can be controlled down to around
100 nm by varying the oxidation time. Fig. 2.6. 4 shows on the right a scanning-electron microscope
(SEM) image of an aperture of 70 nm defined in this way. Broad-area p contacts (3.8×10-5 cm2) are
then evaporated on top of the mesas, with uncritical alignment, and an n contact is evaporated on the
substrate side. Because the surface of the sample, outside of the mesas, is covered with an insulating
Al2O3 oxide, current is forced to flow into the small current apertures. A simple procedure to define
current apertures «1 µm is the simultaneous and self-aligned formation of the current aperture and a
contact-defining oxide using vertical–lateral oxidation of AlGaAs. In contrast to previously reported
approaches this allows the fabrication of devices with active diameters down to 100 nm by optical
lithography.
The quantum dots turned out to be very temperature-tolerant, meaning they continue to confine elec-
trons even when the temperature rises to room temperature. The light emitting diode produces infrared
light with a
wavelength of
1.3 µm, which
is widely used
in today's fiber-
optic communi-
cations. Its
small size
Fig. 2.6. 4 Left: Schematics of the fabricated LED structure. Right: Cross-sectional SEM image of a
makes the de- 70 nm aperture obtained by lateral oxidation from a 1 µm wide stripe.
vice useful as a
light source for single-mode fiber. Single mode fibers are 8 to 9 µm in diameter, and are used for long,
high-speed links. Right now the active zone of the LEDs contains 10 to 100 quantum dots. The next
goal is to scale the LEDs down so that they contain single quantum dots, which would only emit one
photon at a time.

30/11/2005 Dissemination level : Public Page 209/273


IST-017255 MONA Deliverable D1.1 (M4)

Single Photon Detectors


Single photon detectors are a prerequisite for almost every application in quantum optics. For quantum
cryptography for example the performance of the detector system is crucial for the rate of key genera-
tion and the maximum communication distance. Important parameters for single photon detectors are:
gain, excess noise factor (ENF), time response, dark count detection, detection wavelength, mul-
tiphoton detection and energy resolution.
Common systems are photomultipliers and avalanche photodiodes (APD). But recent developments in
nanotechnology offer the possibility to use quantum dots for single photon detection.
By using a field-effect transistor (FET) it is possible to detect the presence of a single photoexcited
carrier in a single quantum dot [Shields, 2000]. Fig. 2.6. 5 shows a schematic of the device structure.
It consists of a GaAs/Al0.33Ga0.67As modulation-doped FET containing a layer of InAs quantum dots
separated from the 2DEG in the GaAs channel by a thin Al0.33Ga0.67As barrier. Since there are bound
states of the quantum dots lying to lower energy than the conduction-band edge of the GaAs channel,
each dot traps several excess electrons. This negative charge induces a repulsive potential, depleting
the 2DEG of electrons in regions adjacent to each quantum dot, which consequently has relatively low
electron mobility. At the electron densities for which the 2DEG has a low but finite conductivity, the
channel current is extremely sensitive to the charge trapped in the dots. Carriers photoexcited by inci-
dent light are captured by the dots, thereby altering the conductivity of the 2DEG. Thus, if the active
area of the device contains a sufficiently small number of quantum dots, it could be possible to detect

Fig. 2.6. 5 Left: Schematic of the quantum-dot FET structure. Application of a positive bias to the gate charges the underlying
dots with electrons, which limits the mobility of the adjacent electron channel. Single photons liberate a trapped electron, via
capture of a photoexcited hole, resulting in a detectable increase in the conductance of the electron channel. Right: Scanning
electron microscope image of the gate region for a FET with a 2-mm-wide mesa and 4-mm-long gate [Shields, 2000].

a change in the 2DEG conductance due to capture of a single photoexcited carrier by a dot.
The layers were grown by MBE on a (100)-oriented GaAs substrate and consist of GaAs buffer, 250
nm Al0.33Ga0.67As, 40 nm (Si-doped 1018 cm-3) Al0.33Ga0.67As, 40 nm Al0.33Ga0.67As, 20 nm GaAs chan-
nel, 10 nm Al0.33Ga0.67As, 2 nm GaAs, 1.7 ML InAs which forms the quantum dot layer, 50 nm
Al0.33Ga0.67As, 30 nm Al0.33Ga0.67As (Si-doped 1018 cm-3), and 10 nm GaAs. Photoluminescence spec-
tra, taken on the wafer, display the typical signature of a high-quality InAs quantum-dot layer, with
several peaks between 1.1 and 1.3 eV due to recombination of electrons and holes in different states
confined in the dots.
A mesa was etched using photolithography to confine the conducting channel to a narrow bar measur-
ing 2 x 20 µm. Source and drain NiAuGe Ohmic contacts (not shown in Fig. 2.6. 4) were made to the
electron channel on either end of the bar. A semitransparent Schottky contact, consisting of a 7-nm-
thick layer of NiCr, was defined across the center of the bar using e-beam lithography. Structures were

30/11/2005 Dissemination level : Public Page 210/273


IST-017255 MONA Deliverable D1.1 (M4)

prepared with different gate lengths (measured along the long axis of the mesa) of between 1 and 10
µm. Larger Hall bars were also fabricated for characterization of the channel density and mobility. The
measurements were recorded with a sample temperature of 4 K, although similar behavior was also
observed at 77 K.
Another scheme exploits the effect of single photocharges upon resonant tunneling processes using the
structure shown schematically in Fig. 2.6. 6 (a). This consists of an n-i-n semiconductor diode in
which the intrinsic region contains a double-barrier tunnel structure and a layer of self-assembled
quantum dots. The current flowing between the emitter and the collector contacts in response to an
applied voltage is limited by tunneling through the double-barrier structure. A sharp resonance in the
tunnel current is observed for those voltages where the energy of electrons behind the emitter side
barrier aligns with a confined level in the quantum well between the barriers [Fig. 2.6. 6 (c)]. Electrons
trapped within the quantum dots induce a potential which affects the tunneling characteristics. As dis-
cussed later, neutralization of this trapped charge by photoexcited holes strongly affects the tunnel
current of the diode, allowing the detection of individual photons [Blakesley, 2005].
The samples were grown by MBE on a semi-insulating GaAs substrate. A typical layer structure con-
sisted of a 200 nm GaAs buffer, a 10 nm AlAs etch-stop layer, a 230 nm GaAs emitter with graded n-
type doping from 1 × 1018 to 1 × 1016 cm-3, a 20 nm undoped GaAs spacer, a 10 nm Al0:33Ga0:67As
barrier, a 10 nm GaAs well, a 10 nm Al0:33Ga0:67As barrier, a 2 nm GaAs spacer, an InAs self-
assembled quantum dot layer, a 310 nm undoped GaAs intrinsic region, and a 50 nm n+ doped GaAs
collector. The layer of quantum dots was grown in the Stranski-Krastanov mode by depositing InAs on
top of the GaAs. The growth conditions for the quantum dot layer were designed to give a dot density
of about 100 µm-2. The thick intrinsic region above the quantum dots serves as a light absorbing layer.
Small-tunnel-junction-area-devices, shown in the scanning electron microscopy (SEM) image of Fig.
2.6. 6(b), were fabricated in a cross-wire geometry. This involved first etching a 1 µm wide top con-
tact wire. Then a bottom contact wire was etched perpendicular to the top wire. A selective wet etch
was used such that the top contact wire was undercut to form a freestanding bridge away from the
junction where the two wires intersect. The only contact between the top and the bottom wires occurs
where they cross, giving a contact area of about 1 µm square. Apart from its small active area, the
cross-wire design is claimed to be favorable because it avoids placing ohmic contacts directly above
the optically active area of the device. For comparison also large-area structures were fabricated by
etching square mesas with sides of between 5 and 50 µm, using conventional techniques.
When a single hole, or positive charge left by the absence of a negatively-charged electron, is excited
by a single photon, the hole combines with an electron trapped in one of the quantum dots and is neu-
tralized. The capture of a hole excited by the photon in one of the dots can switch the magnitude of the
current flowing through device. The increase of the current flowing through the device can be sensed,
allowing the photon to be detected.
The photon detector is faster and more efficient than existing single photon detectors that use transis-
tors. The prototype has an efficiency of 12.5% and can detect a new photon every 150 ns giving the
device a theoretical data rate of 5 MHz. Making the resonant tunneling diode detector's layers thinner
and applying an antireflection coating could boost the device's efficiency to 65 % and its speed as high
as 100 MHz.

30/11/2005 Dissemination level : Public Page 211/273


IST-017255 MONA Deliverable D1.1 (M4)

Fig. 2.6. 6 (a) Schematic of the device structure. (b) Scanning electron microscope image of a cross-wire structure with an active area of
about 1 µm2. The top wire forms a bridge between a contact pad (not shown) and the bottom wire, using an air gap for isolation.
(c) Schematic band diagram of structure under forward bias, close to resonance. Capture of a single photoexcited hole by a quantum dot
lowers the potential of the dot and alters the resonant tunneling condition (red dashed line) [Blakesley, 2005].

Outlook
Improvement of both bottom-up (quantum dots) and top-down technologies (structuring) is still
needed for better device performance.

30/11/2005 Dissemination level : Public Page 212/273


IST-017255 MONA Deliverable D1.1 (M4)

4.2.6.1 Table: Single Photon Systems

Device Nano- Nanostructuring Development Remarks Chapter


Component Technology State Issues
Sources quantum dot MBE research proto- - optical excita- 4.2.6
type tion with a fs-
laser
- operation temp.
36 K
quantum dot MBE research proto- - electrical exci- 4.2.6
type tation in an LED
structure
- operation temp.
5K
Detectors quantum dot MBE research proto- - electrical detec- 4.2.6
type tion in an FET
structure
- operation temp.
4K
quantum dot MBE research proto- - n-i-n semicon- 4.2.6
type ductor diode
structure (RTD)

[Allen, 2001] P. Allen, In: “Security comes one photon at a time”, Technology Research News, January 3, 2001

[Blakesley, 2005] Blakesley, J. C., See, P., Shields, A. J., Kardynał, B. E., Atkinson, P., Farrer, I., Ritchie, D. A., “Efficient Single Photon
Detection by Quantum Dot Resonant Tunneling Diodes”, Phys. Rev. Lett. 94, p. 067401, 2005

[Fiore, 2002] Fiore, A., Chen, J., Llegems, M., "Scaling quantum-dot light emitting diodes to submicrometer sizes", Appl. Phys. Lett. 81, p.
1756, 2002

[Michler, 2000] Michler, P., Kiraz, A., Becher, C., Schoenfeld, W., Petroff, P., Zang, L., Hu, E., Imamoglu, A., "A Quantum Dot Single-
Photon Turnstile Device", Science 290, p. 2282, 2000.

[Pelton, 2002] Pelton, M., Santori, C., Vuckovic, J., Zhang, B., Solomon, G., Plant, J., Yamamoto, Y., "Efficient Source of Single Photons:
A Single Quantum Dot in a Micropost Microcavitiy", Phys. Rev. Lett. 89, p. 233602-1, 2002

[Shields, 2000] Shields, A., O'Sullivan, M., Farrer, I., Ritchie, D., Hogg, R., Leadbeater, M., Norman, C., Pepper, M. "Detection of single
photons using a field-effect transistor gated by a layer of quantum dots", Appl. Phys. Lett. 76, p. 3673, 2000

[Yuan, 2002] Yuan, Z., Kardynal, B., Stevenson, R., Shields, J., Lobo, C., Cooper, K., Beattie, N., Ritchie, D., Pepper, M., "Electrically
Driven Single-Photon Source", Science 295, p. 102, 2002

30/11/2005 Dissemination level : Public Page 213/273


IST-017255 MONA Deliverable D1.1 (M4)

4.2.7 Silicon Photonics and Further Topics

4.2.7.1 Silicon Photonics

The topic of light emission from silicon is not entirely new [Iyer, 1992], [Vial, 1994]. But there is very
recent, renewed interest in the topic of silicon photonics, which is defined as photonic devices that are
produced with full CMOS compatibility. Two reasons can be found for this development: First - it is
expected that bandwidth requirements for certain applications will reach the limits of metallic inter-
connects within the next five years; cf. [CTR, 2005; p. 9] for details. Second - there are now a number
of new approaches to achieve silicon photonics which are provided by nanotechnologies. “Two classes
of technologies for active optoelectronics are emerging in research laboratories. Each involves semi-
conductor particles controllably made on the nanometer lengthscale. One of these can be processed
entirely from the solution phase, just as photoresist is spin-coated onto any substrate. Colloidal quan-
tum dots have been synthesized in solution and processed, often in combination with a semiconducting
polymer, to realize active optoelectronic devices compatible with any substrate. [...] A complementary
emerging technology for active silicon optoelectronics is in the area of silicon nanocrystals. These
consist of nanometer-sized crystals of silicon in a oxide matrix. They can be processed using existing
CMOS-compatible technologies such as PECVD.” [CTR, 2005; p. 18 ff] A review of these and other
new approaches to silicon photonics can be found in [Pavesi, 2004], [Pavesi, 2005].

The advance of silicon photonics by using a fully CMOS compatible process might completely change
the situation of photonics. It could imply that very low cost, monolithically integrated transceivers
could be available for data communications (inside the chip, chip-to-chip, board-to-board, rack-to-
rack, access networks, FTTx, automotive, etc …).

[CTR, 2005] microphotonics industry consortium, communications technology roadmap, Microphotonics: Hardware for the Information Age
- Silicon Optoelectronics (2005); http://mph-roadmap.mit.edu/about_ctr/report2005/

[Iyer, 1992] Iyer, S.S (ed.), Light emission from silicon: [symposium held Dec. 3-5, 1991, Boston], MRS Symposium Proc. Vol. 256, 1992.

[Pavesi, 2004] Pavesi, L., Lockwood, D.J. (eds.), Silicon Photonics, Springer, 2004.

[Pavesi, 2005] Pavesi, L., Routes toward silicon-based lasers, Materials Today, Jan. 2005, p. 18-25 and reference therein.

[Vial, 1994] Vial, J.-C. (ed.), Light emission form silicon: [Proc. of Symposium E of the 1993 E-MRS Spring Conference held May 4-7,
Strasbourg], North Holland 1994.

4.2.7.2 Further Topics

This sections lists some interesting topics and issues, that due to time constraints have not been cov-
ered fully, but that might still be taken up within the subsequent work packages of MONA:

- Nanomaterials and nanocomposites offer numerous applications in optics [Prasad, 2004],


[Charra, 2003]. They could be of interest due to their unique optical properties as photonic
materials or for applications in packaging, where also superior thermal or mechanical proper-
ties could come into play.
- Nanometerscale optical coatings (self-cleaning glasses, anti-reflective coatings, anti-fog coat-
ings, transparent scratch resistive layers).
- Lenses and mirrors with nanometerscale surface precision with respect to roughness and shape
(cf. section 3.1.1.2 on EUVL).
- Photonic devices such as planar waveguides, switches, modulators etc. specifically for fiber
optics telecommunication could also benefit from nanotechnologies. This would also include
the field of optical interconnects.

30/11/2005 Dissemination level : Public Page 214/273


IST-017255 MONA Deliverable D1.1 (M4)

- Photonic integration (system-on-a-chip, chip-to-chip interconnects, ...). How will integration


benefit from novel nanotechnologies?
- Optical signal processing systems like for instance slow light systems for optical buffers,
which might use new nanotechnologies like elecromagnetically induced transparency in quan-
tum dots or dispersion in photonic crystals.
- Metamaterials with negative index of refraction have up to now been mostly demonstrated for
the microwave region with structure sizes on the mesoscale. Nanostructuring technologies
could open the avenue to metamaterials with a negative index of refraction in the IR to the
visible spectral range [Shalaev,2005].

[Charra, 2003] Charra, F. (ed.), Organic nanophotonics: [proceedings of the NATO Advanced Research Workshop on Organic Nanophoton-
ics, Aix-en-Provence, 25 - 29 August 2002], Kluwer Acad. Publ., 2003.

[Prasad, 2004] Prasad, P. N., Nanophotonics, Wiley-Interscience, 2004; e.g. chapter 10.

[Shalaev,2005] Shalaev, V.M. et al., Negative Index of Refraction in Optical Metamaterials, arXiv.org/abs/physics/0504091.

30/11/2005 Dissemination level : Public Page 215/273


IST-017255 MONA Deliverable D1.1 (M4)

5 Summary and Conclusion - Key Issues


The ongoing rapid development of nanotechnologies opens a tremendous amount of new opportunities
for those engaged in optics and photonics. As has been shown in this report new and powerful tech-
niques for fabricating nanoscopic devices enable new possibilities of using these methods also for
manufacturing nanophotonic devices.

The main challenge arises from the requirement to establish their use in cost effective volume produc-
tion of nanophotonic devices. Microelectronics is currently the driving force for equipment develop-
ment but new emerging markets (such as lighting, OLED for example) could completely change the
situation and bring along a new industrial paradigm. Key issues in this respect are:
- How could the fabrication of photonics devices converge with CMOS fabrication?
- How could specific photonics devices fabrication processes reach volume production?

These topics will be addressed during the workshops in the subsequent work packages of MONA by
discussing the following key questions:

Questions related to equipments:


- Which of all the potential fabrication processes could be transferred to an industrial environ-
ment?
- How to mix bottom-up and top-down approaches for the fabrication of nanostructures?
- What is the equipment roadmap?
- What is the roadmap of the equipment end-users?
- Which requirements of the end-users roadmap does the equipment fulfil?
- Which limitations exist on equipment side?

Questions related to applications:


- What is the 5-10 years roadmap?
- What are the limitations and bottlenecks?
- Which of these limitations could be overcome by nanotechnologies?
- What are the specific constraints related to each one of the applications concerning cost, mate-
rials, throughput, yield, and performance?

Questions related to nanotechnological content:


- What is the technological roadmap?
- Which new applications are foreseen?
- What are the limitations with respect to equipment and processes?

Starting from the frame of reference presented in this report, the work to establish a roadmap for
photonics and nanotechnologies will move on. The set of key questions is designed in such a way that
both a market pull and a technology push perspective are considered in the roadmapping process.

30/11/2005 Dissemination level : Public Page 216/273


IST-017255 MONA Deliverable D1.1 (M4)

6 Appendix
6.1 Equipment4
The following section provides some examples of manufacturers and equipment used for the fabrica-
tion of photonic devices, based on descriptions provide on the websites of the manufacturers. It has to
be noted, that this list is not exhaustive. It has also to be noted, that appearance of a manufacturer or of
equipment in this section does not imply endorsement in any form.

6.1.1 MOCVD equipment


6.1.1.1 AIXTRON
AIXTRON AG , Kackertstr. 15 - 17 , 52072 Aachen , Germany
Tel: +49 (241) 8909-22 , Fax: +49 (241) 8909-40

EpiTUNE® II In-situ True-Temperature/Real Wafer Measurement and Preci-


sion Control System for Wafer and Susceptor Surface
EpiRas® The most powerful realtime epitaxy monitoring tool
OVPD Equipment Organic Vapor Phase Deposition
for OLED's
SiGeTricent® 300/200/150 mm Epi-Tool for SiGe, SiGe:C and Si
AIX 200 FE The Proven Research Reactor for Multicomponent Oxide
MOCVD
Tricent® The 200/300 mm MOCVD Tool for Deposition of High-k (e.g.
BST) and Ferroelectric (e.g. SBT, PZT) Films
AIX P6/P60 Plasma CVD for Diamond Deposition - Novel Ellipsoid Reactor
Design
AIX 3000 Multiwafer Planetary Reactor® (95 x 2", 40 x 3", 25 x 4", 10 x 8",
5 x 8", 5 x 10" wafers)

AIX 2400/2600G3 New! First MOCVD system ever with truly automated cassette-
to-cassette wafer loading (5x6", 9x4" or 35x2" wafers)

AIX 2000/2400 Multiwafer Planetary Reactor® for MOVPE growth of 7 x 2", 5 x


3" (AIX 2000) or 11 x 2", 8 x 3", 5 x 4" (AIX 2400 wafers)

4
This section is contributed by Krassimir Krastev; Opticsvalley.

30/11/2005 Dissemination level : Public Page 217/273


IST-017255 MONA Deliverable D1.1 (M4)

AIX 2000/2400 HT High temperature multiwafer Planetary Reactor®for MOVPE


growth of 7 x 2", 5 x 3" (AIX 2000) or 11 x 2", 8 x 3", 5 x 4" (AIX
2400 wafers)
AIX 200/4 Singlewafer MOVPE system (1 x 2", 1 x 3", 1 x 4", 3 x 2" wafers)
AIX 200/4 SiC High temperature reactor system for SiC
AIX 200 Singlewafer MOVPE system (1 x 2" wafer)
AIX 200/4 HT High temperature reactor system (1 x 2", 1 x 3", 1 x 4" wafer)
AIX 200 RF High termperature version of AIX 200 for III-Nitrides
AIX 200 RD Compact version of AIX 200 system
AIX 200 SC AIX 200 version for HTc superconductor materials
AIX 200 II-VI MOVPE reactor version of AIX 200 for II-VI materials
AIX VPE 100 Single and multiwafer VPE system
AIX LPE 100 Singlewafer LPE system for the growth of III-V and II-VI materi-
als
AIXTOX Scrubbing system for the decontamination of toxic exhaust
gases to below 5 ppb

30/11/2005 Dissemination level : Public Page 218/273


IST-017255 MONA Deliverable D1.1 (M4)

6.1.1.2 Nippon Sanso Corporation

Early on, Nippon Sanso succeeded in the development of Metal Organic Chemical
Vapor Deposition (MOCVD) equipment required in the manufacture of compound
semiconductors used in the liquid crystal displays (LCDs) of cellular phones, com-
puters and other devices. This technology has been highly acclaimed throughout the
world for its exceptionally stable performance.

I nt e r io r of t he S R- 4 0 0 0 M OCV D e q ui p-
m e nt

S R - 4 0 0 0 nit r og e n c om p o u nd MO C V D e q uip m e nt

Safety is of critical importance at semiconductor manufacturing facilities where a va-


riety of semiconductor material gases are used. Nippon Sanso has progressed away
from the conventional practice of filling cylinders with gas at high pressure and now
uses a completely new method in which an adsorbent is packed with the gas when
the cylinder is filled. Called Safe Delivery Source (SDS), this method not only dra-
matically improves safety, but also expands the amount of gases that can be packed
into the cylinder several tens of times compared with the conventional method.
Nippon Sanso also supplies innovative products related to the supply of semiconduc-
tor material gases, such as nanochem purifiers that have become successful as high-
performance in-line purifiers and expandable cylinder cabinets in which various types
and quantities of gases can be flexibly installed and changed.

30/11/2005 Dissemination level : Public Page 219/273


IST-017255 MONA Deliverable D1.1 (M4)

“Purifilter”nanochem purifer

SDS cylin- “NACS-Forest” semiconductor


ders material gases supply cylinder
cabinet

30/11/2005 Dissemination level : Public Page 220/273


IST-017255 MONA Deliverable D1.1 (M4)

6.1.1.3 Taiyo Nippon Sanso

The Company delivers a large quantity of MOCVD equipment for use in a diverse
range of applications, ranging from R&D to large systems for mass-production lines
worldwide.

30/11/2005 Dissemination level : Public Page 221/273


IST-017255 MONA Deliverable D1.1 (M4)

6.1.1.4 Thomas Swan Scientific Equipment Ltd

6.1.1.4.1 For Research & Development:


Prod- Platforms by
Segment Main Devices
ucts Capacity
GaN LED Blue & Green LEDs CCS 3x2" - 1x3" - 1x4"
GaN Lasers & Elec- Blue lasers, GaN pHEMTs and
CCS 3x2" - 1x3" - 1x4"
tronic FETs
InP Laser Diode, VCSEL, HBTs CCS 3x2" - 1x3" - 1x4"
3x2" - 1x3" - 1x4"
CCS
GaAs Electronic HBT, pHEMTs 6x2" - 3x3" - 1x4"
CCS(FT)
- 1x6"
CCS 3x2" - 1x3" - 1x4"
GaAs Optoelec- UHB red&yellow LEDs, (in-
CCS 6x2" - 3x3" - 1x4"
tronic fra)red lasers, VCSEL
(FT) - 1x6"

6.1.1.4.2 For Production Environments:


Prod- Platforms by
Segment Main Devices
ucts Capacity
6x2" - 3x3" - 1x4"
CCS - 1x6"
GaN LED Blue & Green LEDs
CCS(FT) 19x2" - 7x3" - 4x4"
- 1x6"
6x2" - 3x3" - 1x4"
GaN Lasers & Blue lasers, GaN pHEMTs and CCS - 1x6"
Electronic FETs CCS(FT) 19x2" - 7x3" - 4x4"
- 1x6"
CCS 19x2" - 7x3" - 4x4"
InP Laser Diode, VCSEL, HBTs
CCS(FT) - 1x6"
CCS 19x2" - 7x3" - 4x4"
GaAs Electronic HBT, pHEMTs
CCS(FT) - 1x6"
CCS
GaAs Optoelec- UHB red&yellow LEDs, (infra)red 19x2" - 7x3" - 4x4"
CCS
tronic lasers, VCSEL - 1x6"
(FT)

30/11/2005 Dissemination level : Public Page 222/273


IST-017255 MONA Deliverable D1.1 (M4)

6.1.1.5 Veeco

Our new MOCVD tools are based around our proprietary TurboDisc technology,
which uses a unique high-speed rotating disc technique to deposit such epitaxial ma-
terials as GaAs, AlGaAs, InP, InGaAlP, InGaAsP, InGaN, AlGaN, SiC and GaN. The
precise control of reactant gas flow with this technology leads to excellent uniformity
across the wafer, high growth rates, and exceptional material usage efficiency.
Our tools range from basic MOCVD research tools up to our GaNzilla systems for the
highest-volume production of GaN-based devices. Our MOCVD systems and their
applications are illustrated in the table:

Veeco TurboDisc
MOCVD Systems

Pioneer 75

Discovery 180 LDM

Discover 180 GaN

Enterprise 300 LDM

Enterprise 300 GaNzilla

Enterprise 450 LDM

Our existing silicon-style cluster tool GEN2000 MBE system, coupled with our new
TurboDisc MOCVD platform, enables Veeco to deliver the lowest cost of ownership
for the manufacture of compound semiconductor devices. This superiority in MOCVD
has made our tools preferred worldwide for the high-volume production of gallium
nitride (GaN)-based LEDs and electronic devices.

30/11/2005 Dissemination level : Public Page 223/273


IST-017255 MONA Deliverable D1.1 (M4)

6.1.1.6 Furukawa

30/11/2005 Dissemination level : Public Page 224/273


IST-017255 MONA Deliverable D1.1 (M4)

6.1.1.7 Other

SDI Semiconductor Instruments Inc. • David Lee • 491 Skyline Drive • Woodland
Park, Colorado 80863 • 719-229-6066 • 719-262-0409 • Used and surplus Semiconductor Capital
Equipment, Sub systems and Spares, Assembly & Test and SMT

Abdullah Corporation • Suhail Ashraf Vohra • No.5,2nd Floor Khanani Chamber


• Mohammad Feroz St Jodia Bazar, Karachi Sind 74000, Pakistan • 92-300-8250853,
92-21-2438680 • 9221-2200807 9221-2438680 • We are commercial Importer Exporter Indenter Manu-
facturer of Indusrial Raw materia , Chemical petrochemical All sorts

EMCORE Corporation • Tom Miehe • 145 Belmont Drive • Somerset, New Jersey
08873 • 732-271-9090 • 732-271-9686

Premier Leasing Co., Inc. • Joe Arvidson • 215 Main St. Suite 3 • Salem, New Hamp-
shire 03079 • 603-893-6900 • 603-893-4295

32Group • Patricia Bostyn • P.O. Box 44132 • Dubai, Dubai, United Arab Emirates
• +971 4 330 33 32 • +971 4 330 38 32

ARCHERCHEM • Anil Dhedia • info@archerchem.com • Mumbai, Maharashtra


400090, India • 022-28786843

distributors choice chemical • david • 400 steeles ave ,.E, • brampton, ontario L6W
4T4, Canada • 1-800-564-0015

synthetic polymers • harish • 82 general patters road • chennai, tamil nadu 600002,
India • 91 44 8529837 • 044

Balboa Capital • John Snyder • 2010 Main Street, 11th Floor • Irvine, California 92614
• 949-399-6331 • 949-224-2731 • Nat'l direct lender, not brokered; equipment financing; A to C credits, $5,000
to $25MM; start-ups, progress payments, working capital progs avail

NORTH SHORE LEASING & FUNDING, INC. • Dan Jackson • 199 E. Thousand
Oaks Blvd., Suite 105 • Thousand Oaks, California 91360 • 888-494-4861 • 805-494-
4863 • Nationally established equipment leasing firm, specializing in B/C credits, Start-ups and 100% Software. ** $2,000-
$5M ** 100% financing

30/11/2005 Dissemination level : Public Page 225/273


IST-017255 MONA Deliverable D1.1 (M4)

6.1.2 PECVD equipment

Plasma Enhanced Chemical Vapor Deposition (PECVD)

Plasma Enhanced Chemical Vapor Deposition (PECVD) is a technique in which one or more
gaseous reactors are used to form a solid insulating or conducting layer on the surface of a
wafer enhanced by the use of a vapor containing electrically charged particles or plasma, at
lower temperatures. After the first metal layer has been deposited on the wafer, LPCVD can
no longer be used because it will melt the metal. Any subsequent deposition must be per-
formed at low temperatures with PECVD.

6.1.2.1 Applied Materials

APPLIED MATERIALS, 3300 PLASMA II

Low Temperature PECVD System. Low temp. deposition of silicon nitride through
plasma enhanced chemical vapor deposition. Upgraded to PC Controller. Gas jun-
gle and MFC’s upgraded. Advanced Energy PE-2500 Plasma Generator. Typical
batch sizes are 14- 4 in. dia. substrates each run. Not showerhead gas configura-
tion. Typical etch rate 400 angstroms per minute.

6.1.2.2 Advanced Vacuum

Until now, systems designed for research, pilot or low volume production have al-
ways compromised quality and versatility for cost. With the Vision 310 PECVD from
Advanced Vacuum/STS you get much more than excellent film quality in deposition
at an affordable price. Only its combination of modularity and user friendly control can
provide the level of versatility you require for future development.

The Advanced Vacuum/STS Vision 320 RIE system is a manually loaded reactive ion
etcher that provides an unrivalled level of quality and versatility in one compact
modular unit. An excellent choice for research, pilot or low volume production, we
have a solution for your present and future etch requirements.

30/11/2005 Dissemination level : Public Page 226/273


IST-017255 MONA Deliverable D1.1 (M4)

6.1.2.3 SAMCO International

PD-220N
- SiN/SiO2 thick film deposition
- Low price
- Compact footprint
- One 8" wafer, three 4" wafers or five 3" wafers
- Fume Hood
PD-220L
- SiN/SiO2 thick film deposition
- Load-lock version of PD-220
- Compact footprint
- One 8" wafer, three 4" wafers or five 3" wafers
PD-10ST
- Liquid source CVD system
- Thick SiO2 film deposition
- R&D type system
- Processes up to 4" wafers
PD-270STP
- Liquid source CVD system
- Thick SiO2 film deposition
- High deposition rate
- Processes up to 8" wafers
PD-101C
- Single process chamber
- Cassette-to-Cassette (production)
- Process three 3" wafers
- Low damage processing

PD-200D
- Liquid source CVD system
- Processes up to 6" wafers
- Deposition of IR anti-reflective films
- Deposition of hard, low stress DLC films

6.1.2.4 Trikon Technologies

Deposition of high quality, low damage dielectric films are critical to the yield and per-
formance of compound devices and optoelectronic components. Trikon offers a wide
range of high quality, low damage films for many applications, including passivation,
capacitor dielectrics and encapsulation.

Trikon's proprietary PECVD ammonia-free nitride process provides film quality in ex-
cess of competing ammonia-based technologies, with low hydrogen content even

30/11/2005 Dissemination level : Public Page 227/273


IST-017255 MONA Deliverable D1.1 (M4)

when deposited at temperatures as low as 100 °C. Our processes can be tuned to
meet specific customer and device requirements giving flexibility on many parame-
ters including deposition rate, film stress and wet etch rate.

Two tool platforms are available for compound device manufacture. The single
chamber Delta 201 is an ultra compact high throughput density system offering wafer
size compatibility from 2 " to 150 mm substrates. The Delta fxP™ is a high productiv-
ity cluster tool that has been designed to meet the changing needs of volume III-V
production and offers improved process control, higher throughput, world-class up-
time and reliability and configuration flexibility

Both systems are capable of running Trikons in-situ PlasmaCleanXL™ process –


proven in production to increase cleaning rate, reduce PFC emissions and increase
the deposition between mechanical cleans to 10,000 µm.

6.1.2.5 CEVP Ltd

CEVP Ltd provide state of the art, low maintenance systems, for Thin film coating
and etching, used in R&D and production coating of wafers and substrates. With our
systems, the researchers time is spent on the deposition process and not on main-
taining the system and keeping it running. Years of experience of Thin film coating
and etching go into the design of our systems. They are very easy to maintain and
will run in an automatic or manual processing mode.

Gamma 1000C sputtering systems for substrates up to Ø200mm


Down load PDF flyer here Down load PDF spec here Go to system page

Alpha 1000C PECVD / RIE dual use tool for etching and deposition in a single
system
Down load PDF flyer here Down load PDF spec here

PECVD 1000C PECVD Solutions for R&D or Pilot production Silicon based ma-
terials
Down Load PDF Flyer here Down load PDF spec here

RIE 1000C Plasma etch / strip for R&D and Pilot production
Down load PDF flyer here Down load PDF spec here

6.1.2.6 PVA TePla America, Inc

30/11/2005 Dissemination level : Public Page 228/273


IST-017255 MONA Deliverable D1.1 (M4)

The Plasma System 300 Series are Microwave Plasma Batch Systems for resist
ashing and wafer cleaning for use in semiconductor manufacturing. This compact
and versatile system can be used for various substrate sizes up to 8". The highly ac-
tive microwave plasma is particularly successful for removing hardened resist, e.g.
after ion implantation, and guarantees high ashing rates and damage-free process-
ing.
The 300 series systems are ideal for:
Removal of photoresist after implant or dry etching
Wafer and substrate cleaning
Suitable for various substrate technologies, like silicon,
III/V-compounds, quartz, ceramic, lithium niobate, etc.
SOG curing (densification)
Compatible with copper technology
Etching of silicon nitride, polyimide, etc.
Qualified for 0.18 m technology
Cassette loading option

The Plasma System 800 is designed for wafer processing in high-volume 200mm
wafer fabs.

The high throughput and low cost of ownership of this tool offers the superior solution
for resist stripping and wafer cleaning.

It is designed for leading edge applications and can be utilized with copper technol-
ogy. Cassette to cassette handling of 25 wafer lots is integrated with PC control and
touch screen operation. The process chamber material is uniquely compatible with
fluorine chemistry.

The 9200 is a RF isotropic batch plasma etching/ashing system. Available with op-
tional high temperature capabilities for photoresist removal, nitride etch, and other
cleaning applications in semiconductor and MEMS fabs.

The 9200 offers:


Traditional quartz barrel design
100, 150, & 200 mm wafer capability
Patented etch tunnel minimizes charge damage.
Reliable operation
Through-wall mounting
Optical end point detection
Windows® based color touch screen interface
Easy maintenance
This system, much like our 7200 series, offers impressive features and capabilities
with particular emphasis on reliability and safety. It is based on proven reactor de-
signs developed over the past 25 years. Hundreds of these systems are in use in
wafer processing applications throughout the world.

30/11/2005 Dissemination level : Public Page 229/273


IST-017255 MONA Deliverable D1.1 (M4)

6.1.2.7 TEMPRESS

Tempress Systems develops and manufactures horizontal diffusion & LPCVD fur-
nace systems
Tempress is an ISO 9001:2000 certified company.

ƒ High-end 300 mm automated sub-micron technology


ƒ Large volume middle range semiconductor IC both Bipolar and MOS
ƒ Photonics applications
ƒ MEMS
ƒ High Volume Solar Cell Manufacturing
ƒ Laboratory Systems
ƒ Integrated Automation with IBAL Full Automation and Atmoscan® Process-
ing Tool

30/11/2005 Dissemination level : Public Page 230/273


IST-017255 MONA Deliverable D1.1 (M4)

6.2 Projects and Networks of Relevance5


6.2.1 Projects

1) LASAGNE

www.ist-lasagne.org/

All-optical label swapping employing optical logic gates in network nodes

Action Line: Broadband for all

Contact Person:
Name: MARTI, Javier
Tel: +34-96-3877307
Fax: +34-96-3877199
Email: jmarti@dcom.upv.es

Organisation:
UNIVERSIDAD POLITECNICA DE VALENCIA
Nanophotonics Technology Centre, ETSI Telecomunicacion
CAMINO DE VERA S/N
46022 VALENCIA
SPAIN

Future IP-based all optical networks will require technologies to enable packet routing at Terabit/s
bitrates. All-optical label swapping (AOLS) is a type of optical packet switching that is intended to
solve the potential mismatch between fibre capacity and router packet forwarding capacity. To date
packet label processing has been carried out at the electrical domain (for example, IST-STOLAS or
IST-LABELS). However, in order to achieve full transparency at the optical node it is necessary to
perform this functionality at the optical domain. Likewise, the node optical layer needs to implement
the required "intelligence" to look up the routing table and forwarding the packets. LASAGNE project
aims at studying, proposing and validating the use of all-optical logic gates and optical flip-flops based
on commercially available technologies to implement the required functionalities at the metro network
nodes in AOLS networks…

Project Reference: 507509 Contract Type: Specific Targeted Research Pro-


ject
Start Date: 2004-01-01 End Date: 2006-12-31
Project Funding: 2.70 million euro

Participants Country

TECHNISCHE UNIVERSITEIT EINDHOVEN NETHERLANDS


INTERUNIVERSITAIR MICRO-ELECTRONICA CENTRUM VZW BELGIUM
CISCO SYSTEMS INTERNATIONAL B. V. NETHERLANDS
HYMITE APS DENMARK
FIBERNET S.L. SPAIN

5
This section is contributed by Martine Keim-Paray and Thomas Pearsall; European
Photonics Industry Consortium.

30/11/2005 Dissemination level : Public Page 231/273


IST-017255 MONA Deliverable D1.1 (M4)

NKT INTEGRATION A/S DENMARK


INSTITUTE OF COMMUNICATION AND COMPUTER SYSTEMS GREECE
DANMARKS TEKNISKE UNIVERSITET DENMARK
TELECOM ITALIA SPA ITALY

2) NANO_ARCH_REVIEW

A review of the status of research and training in architectures for nanoelectronic and nano-
photonic systems in the European Research Area

Action Line: Pushing the limits of CMOS, preparing for post-CMOS

Contact Person:
Name: FORSHAW, Michael

Organisation:
UNIVERSITY COLLEGE LONDON
Gower Street
WC1E 6BT LONDON
UNITED KINGDOM

Much world-wide effort is being devoted to research into nanoelectronic and nanophotonics devices,
but less effort is being applied to examining system architectures, which might use these devices to
best advantage. Such research is needed, so that present-day increases in computing power can be
extended into the future. To achieve such increases will be a major technological challenge, and proac-
tive research and planning is needed now. Some unanswered technical questions are: Can devices be
assembled into ultra-high density circuits? Are any of these devices fundamentally unsuitable? Will
factors such as size variations affect performance? Can manufacturing faults and transient errors be
overcome using fault tolerance? Will the circuits have better performance than CMOS-type circuits?
Will nanoscale circuits be cheaper than CMOS? Besides these technical questions, two other questions
must also be asked: What systems research is being carried out now, and what gaps are there? - and
are there enough trained people in Europe who are capable of solving these problems? Some but not
all of these questions are being looked at under existing EC initiatives.

We therefore propose a survey which, starting from the existing EC Nanoelectronics Roadmap, would
report on existing European expertise in the following areas:
1. existing and proposed nanoelectronic/photonic devices;
2. small circuits: theory and practice;
3. ultralarge circuits: theory and practice;
4. conventional architectural concepts;
5. unconventional concepts;
6. new concepts;
7. known problems;
8. 'system on a chip' and 3D systems;
9. applications: performance requirements;
10. availability and training of human resources…

Project Reference: 507519 Contract Type: Specific Support Action


Duration: 5 months
Project Funding: 45920.00 euro

Participants Country

30/11/2005 Dissemination level : Public Page 232/273


IST-017255 MONA Deliverable D1.1 (M4)

TECHNISCHE UNIVERSITEIT DELFT NETHERLANDS


BERGISCHE UNIVERSITAET WUPPERTAL GERMANY

3) HIPPOCRATES

A Hybrid Approach for Bone and Cartilage Tissue Engineering using Natural Origin Scaffolds,
Progenitor Cell and Growth Factors

Action Line: Nanotechnologies and Nanosciences

Contact Person:
Name: REIS, Rui Luís G.
Email: contact-this-project-via@cec.eu.int

Organisation:
UNIVERSIDADE DO MINHO
3B's Research Group - Biomaterials, Biodegradables and Biomimetics, Dept. of Polymer Engineering'
The Rectory, Largo do Paco
PORTUGAL

This project aims to provide new tissue engineering technologies for therapeutic treatments, which
will ultimately have major social impact by contributing to the challenge of providing lifelong health
for our society at an affordable cost. The main aim is the development of advanced functional materi-
als that are needed for improved quality of life of thousands of patients suffering from cartilage or
bone tissue loss or malfunctioning. The improved therapy suggested herein will result in a decreased
morbidity and mortality of patients with reduction of the overall costs in EU healthcare. A major ob-
jective is the development of tissue engineering (TE) products that can be used for bone TE, cartilage
TE or for an osteochondral TE strategy. Natural origin scaffolds mainly based on algae & chatoyant
will be used for bone andcartilage. Cewrmaic bi-physic calcium phosphates scaffolds will be obtain
from mineralised red algae. For theosteochondral approach, specific technologies will be developed to
produce complex bi-material (polymer/ceramic) constructs. An all range of adequate processing tech-
niques to obtained suitable scaffolds will be developed…

Project Reference: 505758 Contract Type: Specific Targeted Research Pro-


ject
Start Date: 2004-01-01 End Date: 2008-01-01
Project Funding: 2.90 million euro

Participants Country
ENVISIONTEC GMBH GERMANY
ROTES KREUZ LANDESVERBAND OBEROESTERREICH AUSTRIA
LUDWIG BOLTZMANN GESELLSCHAFT AUSTRIA
QUEEN'S UNIVERSITY BELFAST UK
MATERIALISE NV BELGIUM
JOHANNES GUTENBERG UNIVERSITAET MAINZ GERMANY

4) DESYGN-IT

http://www.tcd.ie/Physics/Molecular_Electronics/DESYGNIT/index.php

DEsign, SYnthesis and Growth of Nanotubes for Industrial Technology

30/11/2005 Dissemination level : Public Page 233/273


IST-017255 MONA Deliverable D1.1 (M4)

Action Line: Nanotechnologies and Nanosciences - Nanometre-scale engineering techniques to create


materials and components

Contact Person:
Name: BLAU, Werner
Email: contact-this-project-via@cec.eu.int

Organisation:
The Provost Fellows and Scholars of the College of the Holy and Undivided Trinity of Queen Eliza-
beth near Dublin
Department of Physics, Trinity College Dublin
2 College Green
2 Dublin
IRELAND

The global market for annotates (Nets) was worth? 1.4M in 2000, the potential market in 2007 is pre-
dicted to reach? 700M. Currently, there is no proven process to manufacture high quality Nets in bulk
quantities. Other recognised barriers to industrial take-up include high NT costs (up to? 500/g) and a
lack of feasible and affordable applications. The primary Scientific and Technical objective of
DESYGN-IT is to establish Europe as the International Scientific Leader in the Design, Synthesis,
Growth and Application of annotates, Nan wires and arrays for industrial technology The project has
relevance in applications across several sectors including electronics, mobile applications, diagnostics
and high performance composites…

Project Reference: 505626 Contract Type: Specific Targeted Research Pro-


ject
Start Date: 2004-10-01 End Date: 2007-10-01
Project Funding: 3.00 million euro

Participants Country

THE CHANCELLOR, MASTERS AND SCHOLARS UK


OF THE UNIVERSITY OF CAMBRIDGE
Jozef Stefan Institute SLOVENIA
Fraunhofer Gesellschaft zur Förderung der angewandten Forschung GERMANY
Sensor Technology and Devices Ltd UK
NTera Ltd. IRELAND
The Queen's University of Belfast UK
University College Cork, National University of Ireland, Cork IRELAND
University of Ulster UK
Mo6 B.V. NETHERLANDS
Toughglass Ltd UK
Mitthögskolan SWEDEN
Queen Mary and Westfield College UK

5) FLEXIFUNBAR

http://194.206.224.27/objectif.htm

MULTIFUNCTIONAL BARRIERS FOR FLEXIBLE STRUCTURES (PAPER, LEATHER,


PAPER)

Action Line: Nanotechnologies and nanosciences, knowledge based multifunctional materials and
new production processes and devices

30/11/2005 Dissemination level : Public Page 234/273


IST-017255 MONA Deliverable D1.1 (M4)

Contact Person:
Name: LEMAIRE, Remy
Email: contact-this-project-via@cec.eu.int

Organisation:
DUFLOT INDUSTRIE S.A.
RD department
ZI CHEMIN Départemental 115 A
BP 69
59542 Caudry
FRANCE

All citizens are permanently protected by flexible structures with barrier effect: fire redundancy, noise
and thermal insulation, shield against electrostatic or electromagnetic phenomena, and filtration of
dust or insects...
The application of flexible structure is very large thanks to their easy adapting properties and shape.
The flexible structures, generally based on paper, leather or textile are usually treated to serve only one
barrier effect.
Nevertheless, the will to maximise the level of safety in building, transportation and to ensure the
well-being of European citizens, requires a whole re-design of flexible structure functions that is the
main purpose of FLEXIFUNBAR. For instance to prevent from all external aggressions in hazardous
atmosphere, flexible structures must provide at least threebanier effects…
The brief following description of objectives summarises the relevance of Flexi unbar to priority 3:
1. The ultimate goal of Flexi unbar initiative is to develop innovative generation of hybrid multi bar-
rier-effect materials, based on multi layer complex structures and fictionalisation of micro and Nan
structures.

Project Reference: 505864 Contract Type: Integrated Project


Start Date: 2004-10-01 End Date: 2008-10-01
Project Funding: 6.44 million euro

Participants : Country

Deutsches Wollforschungsinstitut an der RWTH Aachen e.V. GERMANY


Wetenschappelijk en technisch centrum van de Belgische textielnijverheid BELGIUM
LAMPE FINISHING BELGIUM
SINTERAMA Spa ITALY
STANDA OY FINLAND
PATRAIKI GREECE
ALAN & CO BELGIUM
Clubtex FRANCE
Nylstar CD SpA ITALY
WELLMAN INTERNATIONAL IRELAND
LAUFFENMUHLE GmbH GERMANY
IQAP S.A. (INDUSTRIAS QUIMICAS AUXILIARES DEL PLASTICO, SA) SPAIN
Industrie Maurizio Peruzzo Comfort Sp. z o.o. POLAND
Annebergs Coating Ab SWEDEN
DGTec SAS FRANCE
CURTUMES AVENEDA, Lda PORTUGAL
International Carbide Technology INCAAB SWEDEN
Universita di Pisa ITALY
CEI-Companhia de Equipamentos Industriais, Lda. PORTUGAL
BRUNEL UNIVERSITY UNITED KINGDOM
Traitements Textiles SA BELGIUM
SP Sveriges Provnings - och Forskningsinstitut SWEDEN
LINIFICIO E CANAPIFICIO NAZIONALE S.P.A. ITALY

30/11/2005 Dissemination level : Public Page 235/273


IST-017255 MONA Deliverable D1.1 (M4)

INSTITUT PASTEUR DE LILLE FRANCE


THRAKIKA EKKOKISTIRIA SA GREECE
SUBRENAT EXPANSION FRANCE
Université des Sciences et Technologies de Lille FRANCE
UNIVERSITEIT GENT BELGIUM
CLOTHING TEXTILE AND FIBER TECHNOLOGICAL DEVELOPMENTS GREECE
VTT Technical Research Centre of Finland FINLAND
CREPIM Centre de RESherche et d'Étude sur les Procédés FRANCE
d'Ignifugation des Matériaux
DEVAN CHEMICALS nv. BELGIUM
AMKEY MANAGEMENT FRANCE
NABALTEC GmbH GERMANY
INSTITUT FRANCAIS TEXTILE ET HABILLEMENT FRANCE
EUROPLASMA BELGIUM
INSTITUTE OF NATURAL FIBRES POLAND
Centro di Cultura per l'Ingegneria delle Materie Plastiche' ITALY
ARJO WIGGINS FRANCE
COSTAS SIAMIDIS GREECE
CALSTA WORKWEAR GREECE
PROCOTEX BELGIUM
BASILIUS - Empresa Produtora de Calçado, S.A. PORTUGAL
MANIFATTURA CRESPI ITALY
ECCO Gleittechnik GmbH GERMANY
CENTRO TECNOLOGICO DO CALCADO PORTUGAL

6) NANOROADMAP

http://www.nanoroadmap.it

Technological roadmaps till 2014 in nanoscience and nanotechnologies in materials, health and
medical systems, energy fields

Contact Person:
Name: FRIGESSI DI RATTALMA, Guido
Email: contact-this-project-via@cec.eu.int

Organisation:
ASSOCIAZIONE ITALIANA PER LA RICERCA INDUSTRIALE
Viale Gorizia 25C
198 ROMA
ITALY

The primary objective of NANOROADMAP (NNRM) is to produce roadmaps for the application of
Nan technology in three industrial fields (materials, health and medical systems and energy) that will
cover the next ten years. The project, in complete agreement with the priorities set for FP6, will pro-
ceed along a path that will be time and cost effective. In the first 8 months NNRM will collect all the
documentation relevant for the preparation of a road map that has been published on Nan technology
in the last few years to distil the general scenario to start with. From this scenario the Consortium will
select within each of the three above said fields the most important (2-4) themes "golden" to focus on,
together with 1-2 more themes, also of high interest, but of lesser importance "silver. The "golden"
themes will be investigated in great detail with extensive face-to-face communication through working
groups, Delphi panels, conferences and (web-enabled) foray. For the "silver" themes, on the contrary,
a fully web-integrated road mapping methodology and tool-set will be used. This methodology,
though somehow less thorough, is quite more cost-effective and therefore by combining the two ap-

30/11/2005 Dissemination level : Public Page 236/273


IST-017255 MONA Deliverable D1.1 (M4)

proaches NNRM will deliver a road map that cover not only the main themes, but also those of second
level, at a reduced cost…

Project Reference: 505726 Contract Type: Specific Support Action


Start Date: 2004-01-01 End Date: 2006-07-01
Project Funding: 650000.00 euro

Participants Country

YOLE DEVELOPPEMENT FRANCE


INSTITUTE OF NANOTECHNOLOGY UNITED KINGDOM
VDI/VDE- TECHNOLOGIEZENTRUM INFORMATIONSTECHNIK GMBH GERMANY
VTT VALTION TEKNILLINEN TUTKIMUSKESKUS FINLAND
WILLEMS & VAN DEN WILDENBERG BV NETHERLANDS
MATIMOP ISRAELI INDUSTRY CENTER FOR RESEARCH ISRAEL
& DEVELOPMENT
TECHNOLOGICKE CENTRUM AKADEMIE VED CESKE REPUBLIKY CZECH REPUBLIC

7) SPP

http://newton.ex.ac.uk/research/emag/surface/

SURFACE PLASMON PHOTONICS

Action Line: Nanotechnologies and Nanosciences - Long-term interdisciplinary research into under-
standing phenomena, mastering processes and developing research tools

Contact Person:
Name: BARNES, William (bill)
Email: contact-this-project-via@cec.eu.int

Organisation:
UNIVERSITY OF EXETER
School of Physics
Northcote House, The Queen's Drive
EX44QJ EXETER
UNITED KINGDOM

The project aims to establish the basis for a new type of photomaps; one based on metallic materials
rather than dielectric and semi conducting materials that dominate present day photomaps technology.
Metallic photon materials demonstrate unique properties due to the existence on metals of electromag-
netic surface waves known as surface plasmas (Saps). Saps are set to become part of the photomaps
revolution in which the interaction between light and matter is controlled by producing patterned
structures that are periodic on the scale of the wavelength of light. Saps open up a wealth of new pos-
sibilities for photomaps because they allow the concentration and propagation of light below the usual
resolution limit. The field is now at a critical stage; impressive pioneering results have been reported
but doubts persist about the practical utility of using Saps. The damping of Saps by absorption in the
metal is usually considered to be serious enough to prevent exploitation. This project will expand on
recent work indicating that such problems can be overcome byname-structuring the metals involved,
thus opening the way for exciting new photon elements and devices. The project partners will provide
long-term interdisciplinary research into the phenomena that underlie surface plasmonphotonics. Nan
structure will be used to control the coupling between Saps and light and to control the propagation of
light…

30/11/2005 Dissemination level : Public Page 237/273


IST-017255 MONA Deliverable D1.1 (M4)

Project Reference: 505699 Contract Type: Specific Targeted Research Pro-


ject
Start Date: 2004-01-01 End Date: 2007-01-01
Project Funding: 1.65 million euro

Participants Country
IMPERIAL COLLEGE OF SCIENCE, TECHNOLOGY AND MEDICINE UNITED KINGDOM
KARL-FRANZENS-UNIVERSITAET GRAZ AUSTRIA
UNIVERSITE LOUIS PASTEUR FRANCE
UNIVERSIDAD DE ZARGOZA SPAIN
UNIVERSIDAD AUTONOMA DE MADRID SPAIN

8) GANANO

http://www.ganano.eu.org/

New Generation of GaN-based sensor arrays for nano- and pico-fluidic systems for fast and reli-
able biomedical testing

Action Line: New generation of sensors, actuators and systems for health, safety and security of peo-
ple and environment

Contact Person:
Name: AMBACHER, Oliver
Email: contact-this-project-via@cec.eu.int

Organisation:
TECHNISCHE UNIVERSITAET ILMENAU
Center for Micro- and Nanotechnologies, FG Nanotechnology
Max-Planck-Ring 14
Postfach 100565
98684 ILMENAU
GERMANY

The goal of the project is to develop a novel Gallium Nitride (Gann) based integrated system for fast
physical, chemical, and biological analysis of metabolites, Pharmaceuticals, proteins and pathogens in
aqueous particle- and picot-droplets. The research is motivated by the need of hospitals and laborato-
ries for tools enabling identification and screening of large numbers of samplescontaning small vol-
umes of disease-associated substances. High-rate, efficiency, and sensitivity of analytical sample-
processing that are crucial for early detection of substances associated with diseases such as AIDS or
Creutzfeldt-Jakob will directly contribute to improved quality of life and reduction of medical treat-
ment costs. GaNano explores the frontiers of Nan technologies through development and integration
of electronic sensor arrays, optical components (visible and UV light emitters and detectors), and flu-
idic-fluidic dosing device, to form a multifunctional system based on Gann micro- andnanostructures.
Optimised for physical, chemical, and biological analysis of very small amounts of liquid, the system
will support long-term innovations in bio-medical applications, industrial testing, and development of
Pharmaceuticals…

Project Reference: 505641 Contract Type: Specific Targeted Research Pro-


ject
Start Date: 2004-01-01 End Date: 2007-01-01
Project Funding: 2.40 million euro

Participants Country
TOPGAN LTD POLAND

30/11/2005 Dissemination level : Public Page 238/273


IST-017255 MONA Deliverable D1.1 (M4)

GE GLOBAL RESEARCH EUROPE GMBH GERMANY


EUROPEAN AERONAUTIC DEFENCE AND SPACE COMPANY – GERMANY
EADS DEUTSCHLAND GMBH
UNIVERSIDAD POLITECNICA DE MADRID SPAIN
TECHNISCHE UNIVERSITAET MUENCHEN GERMANY
UNIVERSITY OF CRETE GREECE
FOUNDATION FOR RESEARCH AND TECHNOLOGY HELLAS GREECE
HIGH PRESSURE RESEARCH CENTRE - POLISH ACADEMY OF SCIENCES POLAND

9) NACBO

http://www.nacbo.net/

Novel and improved nanomaterials, chemistries and apparatus for nanobiotechnology

Contact Person:
Name: BRUCE, Ian James
Email: contact-this-project-via@cec.eu.int

Organisation:
University of Kent
Department of Biosciences
CT2 7NZ Canterbury
UNITED KINGDOM

This IP proposes the research, development and commercialisation of discrete but overlapping areas of
material science, materials chemistry and supporting/applying hardware systems. It will deliver novel
and improved solutions to emerging and current needs in biology, health, chemistry, process engineer-
ing and the environment. Most particularly its outputs intend to address molecular diagnostics. The
project will also address training of individuals, at all levels, in areas of relevance to its work and pro-
motion of public awareness and female participation in science, engineering and technology with re-
spect to Nan technology and biotechnology. Finally it intends to deliver an effective web based re-
source for reference purposes with respect to bio/environmental compatibility of materials and
chemistries involved in nanotechnology…

Project Reference: 500804 Contract Type: Integrated Project


Start Date: 2004-12-01 End Date: 2008-12-01
Project Funding: 8.00 million euro

Participants Country
Health Protection Agency UNITED KINGDOM
Shemyakin-Ovchinnikov Institute of Bioorganic Chemistry RUSSIAN FEDERATION
of the Russian Academy of Science
East China University of Science and Technology CHINA
Genetix Ltd UNITED KINGDOM
Direzione Centrale delle Polizia Criminale-Criminapol ITALY
Instituto Zooprofilattico Sperimentale dell'Umbria e delle Marche ITALY
Savyon Diagnostic Ltd. ISRAEL
Proligo Biochemie GmbH Hamburg GERMANY
Bar Ilan University ISRAEL
The Hebrew University of Jerusalem ISRAEL
Universita' degli Studi di Urbino Carlo Bo"'" ITALY
Philips GmbH Forschungslaboratorien GERMANY

30/11/2005 Dissemination level : Public Page 239/273


IST-017255 MONA Deliverable D1.1 (M4)

10) NAMAMET

http://www2.polito.it/ricerca/namamet/

Processing of NAnostructured MAterials through MEtastable Transformations

Action Line: Understanding materials phenomena

Contact Person:
Name: DEBENEDETTI, Bruno
Email: contact-this-project-via@cec.eu.int

Organisation:
Politecnico di Torino
Dip. Scienza dei Materiali e Ingegneria Chimica
Corso Duca degli Abruzzi 24
10129 Torino
ITALY

Metastability is the basic concept deployed by the project to achieve nanocrystalline materials. It deals
with the formation of metastable structures through high temperature processing, and their evolution
into nanocrystalline materials by suitable thermal treatments at moderate temperatures, giving rise to
structures with crystal size in the range 20-50 nm. In the metastability approach the traditional way of
obtaining nanocrystalline materials from nanopowders is reversed. While normally the thermal treat-
ment could induce grain growth of the nanocrystals, in this case it is exploited to effectively achieve
nanostructures. Main goal is the definition of a protocol for the correlation Metastability-
Nanostructured materials-Properties… EU industry will greatly benefit from the exploitation of the
knowledge gained due to the potential impact on a broad class of materials for a wide range of applica-
tions. The derived benefits can be estimated in an increase of 4-5 billion? in the EU market share for
advanced materials in a 10 years period.

Project Reference: 1470 Project Funding: 1.53 million euro


Start Date: 2004-07-28 End Date: 2006-01-01

Participants Country
Talleres Mecanicos COMAS, S.A. SPAIN
Université de Technologie de Belfort Montbéliard FRANCE
Technische Universität Darmstadt GERMANY
University of Hertfordshire UNITED KINGDOM
Universitat de Barcelona SPAIN
Centre National de la Recherche Scientifique FRANCE
Instituto Nacional de Engenharia e Tecnologia Inovaçào PORTUGAL
Consejo Superior de Investigaciones Científicas SPAIN
Consiglio Nazionale delle Ricerche ITALY
Università degli Studi di Cagliari ITALY
Tecnologia e Engenharia de Materiais, SA PORTUGAL
Institute of Metal Cutting POLAND

11) IMPRESS

http://europa.eu.int/comm/research/industrial_technologies/articles/article_2288_en.html

Intermetallic Materials Processing in Relation to Earth and Space Solidification

30/11/2005 Dissemination level : Public Page 240/273


IST-017255 MONA Deliverable D1.1 (M4)

Action Line: New knowledge-based higher performance materials for macro-scale applications

Contact Person:
Name: JARVIS, David John
Email: contact-this-project-via@cec.eu.int

Organisation:
European Space Agency
Physical Sciences Unit, Directorate of Human Spaceflight
8-10 rue Mario Nikis
75738 Paris
FRANCE

The scientific objective of the IMPRESS Integrated Project is to understand the critical link between
materials processing, structure and final properties of novel, higher-performance intermetallic alloys.
These multi-functional materials possess remarkable mechanical, physical and chemical properties,
which make them very attractive for certain industrial applications. The new knowledge, derived from
ground research and space experiments onboard the International Space Station, will lead to macro-
scale prototypes with tailor-made properties. The selected applications include the development of
intermetallic materials and processes for (a) large 40cm prototype turbine blades and (b) advanced
catalytic devices, such as hydrogen-fuel cell electrodes, based on <20-micron powders. In both cases,
Europe's position is considered weak, vis-à-vis the US and Japan, according to the EC's "Futures Pro-
ject-Technology Map". The long-term objective will thus be to develop cost-effective and sustainable
ways of producing new high-quality components for extreme applications, thereby addressing energy
and pollution issues. In turn, this will improve the competitiveness of European industry and the qual-
ity of EU citizen's lives...

Project Reference: 500635 Contract Type: Integrated Project


Start Date: 2004-11-01 End Date: 2009-11-01
Project Funding: 15.89 million euro

Participants Country

ACCESS e.V. GERMANY


Titan-Aluminium-Feinguss GmbH GERMANY
Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. GERMANY
Deutsches Zentrum für Luft- und Raumfahrt e.V GERMANY
Leibniz-Institut fuer Festkoerper- und Werkstoffforschung Dresden e.V. GERMANY
Magnitec Oy FINLAND
Institute of Structural Macrokinetics and Materials Science RAS RUSSIAN
FEDERATION
FUNDACION INASMET SPAIN
Ústav materiálov a mechaniky strojov SAV SLOVAKIA
Universiteit Leiden NETHERLANDS
Rolls-Royce Plc. UNITED KINGDOM
Kungliga Tekniska Högskolan SWEDEN
Research Institute for Solid State Physics and Optics HUNGARY
BRITISH CERAMIC RESEARCH LIMITED UNITED KINGDOM
Max-Planck-Institut für Eisenforschung GmbH GERMANY
Institut National Polytechnique de Toulouse FRANCE
lnstitut National Polytechnique de Lorraine FRANCE
Katholieke Universiteit Leuven BELGIUM
Turbocoating S.p.A. ITALY
Centre National de la Recherche Scientifique FRANCE
NPL Management Ltd. UNITED KINGDOM

30/11/2005 Dissemination level : Public Page 241/273


IST-017255 MONA Deliverable D1.1 (M4)

Consejo Superior de Investigaciones Cientificas SPAIN


Universität Ulm GERMANY
CONSIGLIO NAZIONALE DELLE RICERCHE ITALY
ALD Vacuum Technologies AG GERMANY
National University of Ireland, Dublin IRELAND
Tratamientos Superficiales IONTECH S.A. SPAIN
University of Leeds UNITED KINGDOM
Helsinki University of Technology FINLAND
University of Greenwich UNITED KINGDOM
Calcom ESI SA SWITZERLAND
University of Wales Swansea UNITED KINGDOM
University of Cambridge UNITED KINGDOM
The University of Birmingham UNITED KINGDOM
QinetiQ Nanomaterials Ltd. UNITED KINGDOM
Ufa State Aviation Technical University RUSSIAN
FEDERATION
lnstitute of Chemical Problems for Microelectronics RUSSIAN
FEDERATION
Tylite International Oy FINLAND
Oy Hydrocell Ltd. FINLAND
Akademia Gorniczo-Hutnicza POLAND
Ecole Polytechnique Fédérale de Lausanne SWITZERLAND

12) AEROCELL

Aerocellulose and its carbon counterparts - porous, multifunctional nanomaterials from renew-
able resources

Action Line: New knowledge-based higher performance materials for macro-scale applications

Contact Person:
Name: WEBER, Hedda
Email: contact-this-project-via@cec.eu.int

Organisation:
LENZING AKTIENGESELLSCHAFT
Research and Development
Werkstrasse 2
4860 LENZING
AUSTRIA

The major goal of this project is to imagine, study, understand and develop a completely new ultra-
light, nana orsubmicro-porous multifunctional materials (called Aerocellulose) from renewable natural
polymers, mainly cellulose. Situated at the frontier of the knowledge in cellulose chemistry, cellulose
physics, electrochemistry anaerobe science, this new material can only be developed because of the
synergy of these scientific areas. The perspectives opened by the development of this class of ultra-
light, huge specific surface, biodegradable, materials are tremendous (packaging, controlled release
and delivery systems, electrochemical materials, fuel cell hydrogen storage, chromatography columns,
thermal and acoustic insulation, plant growth supports, etc.)...

Project Reference: 505888 Contract Type: Specific Targeted Research Pro-


ject
Start Date: 2004-01-01 End Date: 2007-01-01
Project Funding: 2.30 million euro

30/11/2005 Dissemination level : Public Page 242/273


IST-017255 MONA Deliverable D1.1 (M4)

Participants Country
UNIVERSITY OF MANCHESTER INSTITUTE OF SCIENCE AND TECHNOLOGY UNITED
KINGDOM
FRAUNHOFER-GESELLSCHAFT Z. FÖRDERUNG DER ANGEWANDTEN FORSCHUNG
GERMANY
COGNIS DEUTSCHLAND GMBH & CO. KG GERMANY
GENIALAB BIOTECHNOLOGIE - PRODUKTE UND DIENSTLEISTUNGEN GMBH
GERMANY
NATEX PROZESSTECHNOLOGIE GESMBH AUSTRIA
ASSOCIATION POUR LA RECHERCHE ET LE DEVELOPPEMENT FRANCE
DES METHODES ET PROCESSUS INDUSTRIELS
INSTITUT NATIONAL POLYTECHNIQUE DE GRENOBLE FRANCE
NOVAMONT SPA ITALY
SAFT S.A. FRANCE

13) SEMINANO

http://www.unitn.it/en/internazionale/area_ric/projects/seminano.htm

PHYSICS AND TECHNOLOGY OF ELEMENTAL, ALLOY AND COMPOUND


SEMICONDUCTOR NANOCRYSTALS: MATERIALS AND DEVICES

Action Line: Expanding knowledge in in size-dependant phenomena

Contact Person:
Name: TURAN, Rasit
Email: contact-this-project-via@cec.eu.int

Organisation:
MIDDLE EAST TECHNICAL UNIVERSITY
DEPARTMENT OF PHYSICS, LABORATORY FOR SEMICONDUCTOR MATERIALS AND
DEVICES
INONU BLVRD.
6531 ANKARA
TURKEY

The primary objective of this project is to develop fundamental knowledge on the production tech-
niques, characterization and methods of applications of semiconductor Nan crystals to light emitting
devices and floating gate memories. Three main research directions can be identified in the project:
First, physics and chemistry of a number of elemental, alloy and compound semiconductor Nan crystal
formation and mechanisms of charge transport and light emission will be studied in a systematic way
to acquire fundamental knowledge. Second, methods and technology of obtaining new materials with
well-characterized Nan crystals suitable for use in device work will be developed. Finally, devices
such as Metal Oxide Semiconductor (MOS) for use in flash memories and light-emitting devices
(Leeds) will be designed, fabricated and tested as prototypes of devices incorporating the unique fea-
tures of Nan crystals. Full cycle starting from material processing to the demonstration of devices will
be covered. Different materials, production techniques, processing conditions and characterization
techniques will be employed to reach comprehensive results for the science and technology of semi-
conductor Nan crystals. As its main objectives are strongly related to the size dependent phenomena in
semiconductors and its outcomes will form the basis for the new production techniques in the modern
microelectronic and photon industry, this project addresses topics with the following activity codes of
NMP Work

30/11/2005 Dissemination level : Public Page 243/273


IST-017255 MONA Deliverable D1.1 (M4)

Project Reference: 505285 Contract Type: Specific Targeted Research Project


Start Date: 2004-09-01 End Date: 2007-09-01
Project Funding: 2.22 million euro

PARTICIPANTS COUNTRY
Göteborg University SWEDEN
Teknoplazma Advanced Technology Materials Industry & Trade Corporation TURKEY
NTVP Poverkhnost" OOO (Surface Phenomena Researches Group LLC) " RUSSIAN
FEDERATION
Universidade do Minho PORTUGAL
Consiglio Nazionale delle Ricerche ITALY
Bilkent University TURKEY
University of Oslo NORWAY
Università degli Studi di Trento ITALY
Ben-Gurion University ISRAEL
Institute of Plasma Physics and Laser Microfusion POLAND
Hungarian Academy of Sciences, Research Institute for HUNGARY
Technical Physics and Materials Science

14) ODEON

Design and Fabrication of Optoelectronic DEvices Based on Innovative Second-Order Non Lin-
ear Organic Nanomaterials

Action Line: Understanding materials phenomena

Contact Person:
Name: CASALBONI, Mauro
Email: contact-this-project-via@cec.eu.int

Organisation:
UNIVERSITA DEGLI STUDI DI ROMA TOR VERGATA
Physics Department University of Rome Tor Vergata / NeMO (New Materials for Optoelectronics)
Via Orazio Raimondo 18
173 ROMA
ITALY

ODEON project aims at developing innovative multifunctional materials-materials opt electronic de-
vices. The research will be carried out on design, synthesis and fabrication of an interferon metric
electro-optical modulator demonstrator. Electro-optical modulators are key devices in telecommunica-
tion. They encode data into an optical signal to transmit over fibber optic cables. Today's available
devices are based on lithium neonate. They present intrinsic limitations in the modulation frequency
and high production costs. Exploitation of active organic molecules connected to polymers can repre-
sent a convenient alternative strategy. First trials on these materials suggest that the drawbacks of the
present technology can be overcome. We plan to fabricate a demonstrator device based on new or-
ganic chromospheres with stronghyperpolarizability, specially designed and synthesized. The chromo-
spheres will be hosted in, or grafted on, polymeric matrices and hybrid sol gel-derived glasses. They
will be manipulated at nanometre scale by means of electric or light fields in order to obtain suitably
oriented molecular arrays. These materials will be patterned using different techniques to produce
simple wave guiding structures, to test interferometer geometry suitable for the planned electro-optic
device. In order to attain our target, a deep insight into chemical and physical phenomena relating to
engineering of innovative multifunctional materials is needed. For this purpose, proper characteriza-
tion of structural, mechanical, linear and non-linear optical properties will be performed…

30/11/2005 Dissemination level : Public Page 244/273


IST-017255 MONA Deliverable D1.1 (M4)

Project Reference: 505478 Contract Type: Specific Targeted Research Pro-


ject
Start Date: 2004-02-01 End Date: 2007-02-01
Project Funding: 2.63 million euro

PARTICIPANTS COUNTRY
COMMISSARIAT A L'ENERGIE ATOMIQUE SACLAY FRANCE
ECOLE NORMALE SUPERIEURE DE LYON FRANCE
CONSORZIO INTERUNIVERSITARIO NAZIONALE PER LA SCIENZA ITALY
E TECNOLOGIA DEI MATERIALI
WEIZMANN INSTITUTE OF SCIENCE ISRAEL
UNIVERSITAET POTSDAM GERMANY
ALENIA MARCONI SYSTEMS S.P.A. ITALY
UNIVERSITAET HAMBURG GERMANY
KUNGLIGA TEKNISKA HOEGSKOLAN SWEDEN
TECHNISCHE UNIVERSITAET KAISERSLAUTERN GERMANY
UNIVERSITE LOUIS PASTEUR FRANCE

15) ANSWER

http://www.aramis-research.ch/d/19012.html

Artificial Nanomaterials for Short Wavelength Emission in the infraRed

Action Line: New knowledge-based higher performance materials for macro-scale applications

Contact Person:
Name: PAGE, Hideaki
Email: contact-this-project-via@cec.eu.int

Organisation:
THALES S.A.
Thales Research & Technology France - Components and Semiconductors Department
45 Rue de Villiers
92526 NEUILLY-SUR-SEINE
FRANCE

The project ANSWER addresses the development of a new class of artificial materials for quantum
cascade lasers. ANSWER is the response to fill the technological gap of semiconductor lasers in the3-
5/ym wavelength region. In this project we propose a Nan technological solution based on large con-
duction band offset semiconductor heterostructures:
These materials are needed for the realization of 3-5//m QC lasers. This wavelength region promises to
bring substantial benefits to several important applications such as optical free-space communications
and laser based spectroscopy for trace gas detection. These applications, that stand to improve
Europe's social and industrial infrastructure, are undeveloped due to the lack of practical laser sources.
The QC laser uses nanometre scale layers of semiconductor material to quantum mechanically engi-
neer the electronic and optical properties of the device. This gives added functionality to these semi-
conductors, over and above their natural properties, and in this respect creates an artificialnanomate-
rial. Through this project we aim to advance Europe's leading position with regards to Classer
technology and thus create the foundations to stimulate future industrial development within the E.U...

Project Reference: 505642 Contract Type: Specific Targeted Research Pro-


ject

30/11/2005 Dissemination level : Public Page 245/273


IST-017255 MONA Deliverable D1.1 (M4)

Start Date: 2004-02-01 End Date: 2007-02-01


Project Funding: 1.73 million euro

PARTICIPANTS COUNTRY
UNIVERSITE DE NEUCHATEL SWITZERLAND
FRAUNHOFER-GESELLSCHAFT Z. FÖRDERUNG DER ANGEWANDTEN FORSCHUNG
GERMANY
ISTITUTO NAZIONALE PER LA FISICA DELLA MATERIA ITALY
UNIVERSITY OF SHEFFIELD UNITED KINGDOM
UNIVERSITE DE PARIS VII DENIS DIDEROT FRANCE
ALPES LASERS SA SWITZERLAND
TECHNISCHE UNIVERSITAET WIEN AUSTRIA

16) NANO2

http://www.mf.mpg.de/en/abteilungen/dosch/news/NanO2news04-1.shtml

Oxidation of Nanomaterials (NanO2)

Action Line: Expanding knowledge in in size-dependant phenomena

Contact Person:
Name: STIERLE, Andreas
Email: contact-this-project-via@cec.eu.int

Organisation:
MAX PLANCK GESELLSCHAFT ZUR FOERDERUNG DER WISSENSCHAFTEN E.V.
Department Dosch
Hofgartenstrasse 8
80539 MUENCHEN
GERMANY

Ambient pressure oxidation determines the stability, functionality and long term performance of non-
material in their working environment. The Nana consortium will clarify, how nonmaterial behaves
and function under environmental oxygen conditions. The influence of the size and shape of Nan par-
ticles on ambient pressure oxidation will systematically investigated in a revolutionary approach: Sur-
face sensitive in-site techniques for ambient oxygen pressures and high temperatures will be combined
with ab-initio thermodynamic calculations. NanO2 brings together the specialists in Europe with
unique expertise in oxidation processes as well as novel experimental and theoretical techniques.
NanO2 aims to grow sized-sized Pd, Rah, Run, and Cu particles with defined size and shape on se-
lected oxide substrates, such as AI2O3, Mao, Toil and Zone. Within four work packages the key barri-
ers to control ambient pressure oxidation of nonmaterial will be attacked: the influence of size-size and
-shape, the formation of sub-surface oxygen, the ab-initio modelling of the oxidation of man-sized
materials at high oxygen pressures and high temperatures and substrate-substrate interaction including
oxygen spill over effects. The control of oxidation under operational conditions is of utmost impor-
tance for the enhanced performance of catalysts involved in applications ranging from fuel cells and
chemical production to electronic sensors for automotive and environmental monitoring applications...

Project Reference: 505670 Contract Type: Specific Targeted Research Pro-


ject
Start Date: 2004-01-01 End Date: 2007-01-01
Project Funding: 1.85 million euro

PARTICIPANTS COUNTRY

30/11/2005 Dissemination level : Public Page 246/273


IST-017255 MONA Deliverable D1.1 (M4)

TECHNISCHE UNIVERSITAET WIEN AUSTRIA


INSTALLATION EUROPEENNE DE RAYONNEMENT SYNCHROTON FRANCE
HALDOR TOPSOE A/S DENMARK
UNIVERSITEIT LEIDEN NETHERLANDS
SINCROTRONE TRIESTE SCPA ITALY
JUSTUS-LIEBIG-UNIVERSITAET GIESSEN GERMANY
LUNDS UNIVERSITET SWEDEN
UNIVERSITAET WIEN AUSTRIA

17) NANOCAPS

Nanocapsules for Targeted Controlled Delivery of Chemicals

Action Line: New materials by design

Contact Person:
Name: SIMON, Christian
Email: contact-this-project-via@cec.eu.int

Organisation:
SINTEF - STIFTELSEN FOR INDUSTRIELL OG TEKNISK FORSKNING VED NORGES
TEKNISKE HOEGSKOLE AS
SINTEF Materials Technology
Strindveien 4
7465 TRONDHEIM
NORWAY

The project NANOCAPS will develop new technologies based on microencapsulation to solve indus-
trial problems related to controlled release of chemicals. The technical objectives are to develop
nanomaterials and nanocomposite coatings for cost-efficient production of nanocapsules and, to vali-
date the technical and economical feasibility of the knowledge acquired in the fields of biomedical
(anti-proliferating and anti-allergic agents) and metal plating (self repair) applications. NANOCAPS
will greatly contribute to the environment policy in Europe and to improve the quality of life of people
(health). To achieve the objectives, NANOCAPS has been configured in five main activities(WP)
addressing specific problems…

Project Reference: 1428 Contract Type: Specific Targeted Research Pro-


ject
Start Date: 2004-03-01 End Date: 2007-03-01
Project Funding: 2.06 million euro

PARTICIPANTS COUNTRY
COATEX SAS FRANCE
ICB POLAND - SPOLKA JAWNA TOMASZ I PAWEL SWIETOSLAWSCY POLAND
CENTRE FOR RESEARCH AND TECHNOLOGY HELLAS GREECE
COVENTYA SAS FRANCE
MAX PLANCK GESELLSCHAFT ZUR FOERDERUNG DER WISSENSCHAFTEN E.V.
GERMANY
INSTITUT FRANCAIS DU PETROLE FRANCE
KERANOR AS NORWAY
INSTYTUT KATALIZY I FIZYKOCHEMII POWIERZCHNI, POLSKA AKADEMIA NAUK
POLAND
UNIVERSITE DE FRANCHE-COMTE FRANCE

30/11/2005 Dissemination level : Public Page 247/273


IST-017255 MONA Deliverable D1.1 (M4)

18) CANAPE

http://www.canapeweb.com/

Carbon Nanotubes for Applications in Electronics, Catalysis, Composites and Nano-Biology

Action Line: Engineering techniques for nanotubes and relative systems

Name: ROBERTSON, John


Email: contact-this-project-via@cec.eu.int

Organisation:
The Chancellor, Masters and Scholars of the University of Cambridge
Engineering
The Old Schools, Trinity Lane
CB2 1TT Cambridge
UNITED KINGDOM

A major limitation on the application of the unique properties of carbon nanotubes has been their high
cost and lack of availability. This IP brings together leading laboratories and companies within Europe
to produce nanotubes on a bulk scale of ultimately tons per year. The large-scale growth of carbon
nanotubes will be developed by chemical vapor deposition (CVD). The applications in electronics as
interconnects and vias for integrated circuits, for field effect transistors, and spin coherent transport
will be developed. Field emission will be developed further for use in microwave amplifiers and mi-
cron scale x-ray sources. Electronic applications will be enabled by controlled growth in plasma en-
hanced CVD and thermal CVD. Multi-wall CNTs will be used as a catalyst in large-scale chemical
reactions such as the dehydrogenation of ethyl benzene to styrene. Control of the nanotube internal
orientation to give the herring bone microstructure is needed for catalysis, as plane edges are catalyti-
cally active. Fictionalisation of CNTs will be extended, in order to improve the performance of struc-
tural, electrically conducting and thermally conducting nanotube-polymer composites. Dispersion of
nanotubes at high loading will be achieved in polymers to obtain high strength composites. Nanotubes
are known to act as high energy density actuators, or 'artificial muscles'. Nanobiological devices will
be fabricated based on self-assembly and molecular absorption. A toxicological study of CNTs par-
ticularly with respect to possible health hazards will be carried out, and nanotube/polymer composites
will be tested for biocompatibility. Public acceptance of nanomaterials and nanotechnology will be
encouraged by publicity and poling…

Project Reference: 500096 Contract Type: Integrated Project


Start Date: 2004-06-01 End Date: 2008-06-01
Project Funding: 6.40 million euro

PARTICIPANTS COUNTRY
CRIF-Wallonie BELGIUM
NanoScape AG GERMANY
Swiss Federal Laboratories for Materials Testing and Research SWITZERLAND
THALES FRANCE
UNIVERSITE DE LIEGE - CENTRE SPATIAL DE LIEGE BELGIUM
CONSIGLIO NAZIONALE DELLE RICERCHE ITALY
Hitachi Europe Ltd UNITED KINGDOM
STMicroelectronics Srl ITALY
Universite Montpellier II FRANCE
Max-Planck-Gesellschaft zur Förderung der Wissenschaften e.V GERMANY
Imperial College of Science Technology and Medicine UNITED KINGDOM
Darmstadt University of Technology GERMANY
Thomas Swan & Co ltd UNITED KINGDOM

30/11/2005 Dissemination level : Public Page 248/273


IST-017255 MONA Deliverable D1.1 (M4)

19) SPANG

http://www.wissenschaftwirtschaft-
bw.de/index.php4?topic=universitaet&uni_id=29&profile_id=122

Spark Ablation for Nanotube Growth

Action Line: Engineering techniques for nanotubes and relative systems

Contact Person:
Name: ROTH, Siegmar
Email: contact-this-project-via@cec.eu.int

Organisation:
MAX PLANCK GESELLSCHAFT ZUR FOERDERUNG DER WISSENSCHAFTEN E.V.
Max-Planck-Institut für Festkörperforschung
Hofgartenstrasse 8
Postfach 101062
80539 MUENCHEN
GERMANY

Carbon annotates grown by chemical vapor deposition or carbon arc method are fairly cheap but con-
tain considerable amount of defects and therefore the electrical and mechanical properties are far be-
low their theoretical limits. In the laser ablation technique, annotates are produced under much better
control of carrier gas flow and thermal gradients, but throughput is low and lasers are expensive. In
channel spark ablation thermal gradients and gas flow are similar, but the process is much cheaper.
The Arc-Jet method improves the flow conditions in the carbon arc (Kraetschmer) generator by inject-
ing the carrier gas through a nozzle into the electric arc. The consortium will set up generators for
channel spark ablation (Bologna), laser ablation (Stuttgart), and Arc-Jet production (Shanghai) and
compare the products from these methods. To this end procedures for quality control and quality stan-
dardisation will be developed. These methods are based on optical and Raman spectroscopy, X-ray
diffraction, and thermo gravimetric analysis, as well as on mechanical investigations and electrical and
thermal transport measurements (on pressed pellets, entangled films, and composites)…

Project Reference: 505483 Contract Type: Specific Targeted Research Pro-


ject
Start Date: 2004-01-01 End Date: 2007-01-01
Project Funding: 965000.00 euro

PARTICIPANTS COUNTRY
SINEUROP NANOTECH GMBH GERMANY
ORGANIC SPINTRONICS SRL ITALY
CONSIGLIO NAZIONALE DELLE RICERCHE ITALY
AT & S AUSTRIA TECHNOLOGIE UND SYSTEMTECHNIK AG AUSTRIA
SHANGHAI YANGTZE NANOMATERIALS CO. LTD CHINA
SHANGHAI NANOTECHNOLOGY PROMOTION CENTER CHINA

20) NAPA

http://www.phantomsnet.net/NAPA/index.php

Emerging Nanopatterning Methods

Action Line: Handling and control instrumentation at the level of of single atoms or molecules and/or
< 10 nm

30/11/2005 Dissemination level : Public Page 249/273


IST-017255 MONA Deliverable D1.1 (M4)

Contact Person:
Name: AHOPELTO, Jouni
Email: contact-this-project-via@cec.eu.int

Organisation:
VTT VALTION TEKNILLINEN TUTKIMUSKESKUS
VTT Information Technology, Centre for Microelectronics
Vuorimiehentie 5
PO Box 1000
2044 ESPOO
FINLAND

The growing importance of nanotechnology for the European Research Area is reflected in theFP6
Thematic Priorities. It is foreseen that most of the projects submitted to the Priority Area 3 (NMP) will
need and develop nanopatterning techniques in one way or another. The Emerging Nanopatterning
Methods (NaPa) consortium integrates the new patterning methods into one project, both anticipating
and responding to the increasing need for technologies, standards and metrology required to harness
the new application-relevant properties of engineered structures with nm-scale features. The NaPa
consortium complements the deep UV technology by providing low-cost scalable processes and tools
to cover the needs of nanopatterning from CMOS back-end processes through photonics to biotech-
nology. To achieve this, research in three technology strands is proposed: nanoimprint lithography,
soft lithography & self-assembly and MEMS-based nanopatterning. While the former is at a crucial
embryonic stage, requiring prompt consolidation to yield its first products in one or two years, the
other two will result in applications towards the end of the project. Research in three overarching
themes required by all strands: Materials, Tools and Simulation will be undertaken…

Project Reference: 500120 Contract Type: Integrated Project


Start Date: 2004-03-01 End Date: 2008-03-01
Project Funding: 15.99 million euro

PARTICIPANTS COUNTRY
CENTRO RICERCHE FIAT Società Consortile per Azioni ITALY
CENTRE SUISSE D'ELECTRONIQUE ET DE MICROTECHNIQUE S.A. SWITZERLAND
AMO GMBH - GESELLSCHAFT FÜR ANGEWANDTE MIKRO- UND OPTOELEKTRONIK
GERMANY
UNIVERSITY COLLEGE CORK, NATIONAL UNIVERSITY OF IRELAND, CORK IRELAND
COMMISSARIAT A L'ENERGIE ATOMIQUE FRANCE
DANMARKS TEKNISKE UNIVERSITET DENMARK
SUSS MICROTEC LITHOGRAPHY GMBH GERMANY
INSTITUTE OF MICROELECTRONICS TECHNOLOGY RAS RUSSIAN
FEDERATION
OBDUCAT AB SWEDEN
FUNDACION CIDETEC - CENTRO DE TECNOLOGICAS ELECTROQUIMICAS SPAIN
NANOCOMMS LIMITED IRELAND
ECOLE POLYTECHNIQUE FEDERALE DE LAUSANNE SWITZERLAND
FUNDACION INASMET SPAIN
ISTITUTO NAZIONALE PER LA FISICA DELLA MATERIA ITALY
PAUL SCHERRER INSTITUT SWITZERLAND
FUNDACION TEKNIKER SPAIN
UNIVERSITEIT TWENTE NETHERLANDS
EV GROUP E. THALLNER GMBH AUSTRIA
CENTRE NATIONAL DE LA RECHERCHE SCIENTIFIQUE FRANCE
NPL MANAGEMENT LIMITED UNITED KINGDOM
NANOPLUS NANOSYSTEMS AND TECHNOLOGIES GMBH GERMANY
CONSEJO SUPERIOR DE INVESTIGACIONES CIENTIFICAS SPAIN
INTERNATIONAL BUSINESS MACHINES CORPORATION UNITED STATES

30/11/2005 Dissemination level : Public Page 250/273


IST-017255 MONA Deliverable D1.1 (M4)

CONSIGLIO NAZIONALE DELLE RICERCHE ITALY


MICRO RESIST TECHNOLOGY GMBH GERMANY
POLITECHNIKA WARSZAWSKA POLAND
UNIVERSITY OF GLASGOW UNITED KINGDOM
LINKOEPINGS UNIVERSITET SWEDEN
LUNDS UNIVERSITET SWEDEN

21) European laboratory for silicon-based nanophotonics

Acronym : Si-NANOPHOTONICS

Organisation: FOM-Institute for Atomic and Molecular Physics

Contact person details:


Prof. Dr Albert Polman
Kruislaan 407
NL-1098 SJ Amsterdam
The Netherlands
Phone: +31206081234
Fax: +31206684106
Emailto:polman@amolf.nl

Sub-Thematic Priority most relevant to your topic: 1.1.2.iii Components and mi-
crosystems

Other relevant Sub-Thematic Priorities: 1.1.3.i Nanotechnologies and Nanosciences

Abstract:
Photonic technology will be one of the enabling technologies of the 21st century. Silicon nanotechnol-
ogy can play a key role to make this technology a success. The objective of this Network of Excel-
lence is to develop novel materials, concepts, and prototype photonic devices, all based on silicon, in
order to reduce cost, increase performance and improve reconfigurability, scalability, and adaptability
of micro- and nanoscale photonic circuits. It brings together 22 European institutes with a total of 120
researchers who are specialist on Si nanophotonics. These institutes will integrate their activities into a
(virtual) European laboratory for silicon nanophotonics. Eight multidisciplinary research areas are
defined, that will be organised within 8 departments, addressing fundamental knowledge on photonic
phenomena in Si nanostructures, novel materials science concepts, nano-fabrication technology, and
theory. Application of silicon photonic nanostructures in biological lab-on-a-chip structures is also
explored. Several European industries are identified to have a key interest in this Network, and two
companies are major partners in this application.

Additional document:
EOI Silicon Nanophotonics Network of Excellence June 7.doc

22) Cell Programming by nanoscaled devices

http://www.cellprom.org/

(Contract N° NMP4-CT-2004-500039)".

What is CellPROM?
CellPROM - beginning March 2004 - is the largest Integrated Project within the thematic priority of
Nanobiotechnologies of the 6th Framework Programme of the European Commission.

30/11/2005 Dissemination level : Public Page 251/273


IST-017255 MONA Deliverable D1.1 (M4)

CellPROM unites 27 academic and industrial researchers from 12 countries for a period of four years
to achieve its main objective of non-invasive »reprogramming« of individual cells on an industrial
scale.

Consortium:
Fraunhofer Institute for Biomedical Engineering IBMT (Prof. Dr. Günter Fuhr coordinator) St. Ing-
bert, Germany
ISAS Institute for Analytical Sciences Dortmund, Germany
L'Ecole Polytechnique Fédérale de Lausanne Center of Micro-Nano-Technology (CMI) Switzerland
University Barcelona, Centre for Research in Nanobioengineering, Spain
Instituto de Biologia Experimental e Tecnológica (IBET) Oeiras, Portugal
Royal Institute of Technology Stockholm, Sweden
Universitaet des Saarlandes Saarbruecken, Germany
Institut fuer Neue Materialien Saarbruecken, Germany
EVOTEC Technologies GmbH Hamburg, Germany
Universitaet Kaiserslautern Department of Physics Kaiserslautern, Germany
University of ViennaInstitute of Pharmaceutical Technology and Biopharmaceutics, Austria
European Research and Project Office GmbH Saarbruecken, Germany
Sysmelec Technical Department Gals, Switzerland
Eurogentec Seraing, Belgium
Silex Microsystems AB Kista, Sweden
Leister Process Technologies Kaegiswil, Switzerland
Georg-Speyer-Haus Institute for Biomedical Research Frankfurt am Main, Germany
tp21 GmbH, Saarbruecken, Germany
Surface Imaging Systems (S.I.S.)Herzogenrath, Germany
MPI for Biophysical Chemistry Goettingen, Germany
Gesellschaft für Silizium-Mikrosystems GmbH (GeSiM) Großerkmannsdorf, Germany
University of Pavia Dipartimento di Biochimica Sezione di Scienze, Italy
Institut Pasteur Biologie des Regulations Immunitaires Paris, France
University of Ljubljana, Biophysik Institute of Biophysics, Faculty of Medicine, Slovenia
Tel Aviv University
University Research Institute for Nano Science and Nano Technology & The Sackler School of Medi-
cine
Ramal-Aviv Tel-Aviv, Israel
AMO Gesellschaft für Angewandte Mikro- und Optoelektronik GmbH Aachen, Germany
University Vilnius Faculty of Chemistry, Department of General and Inorganic Chemistry Vilnius,
Lithuania

30/11/2005 Dissemination level : Public Page 252/273


IST-017255 MONA Deliverable D1.1 (M4)

6.2.2 Networks

1) EPIXNET

European Network of Excellence on Photonic Integrated Components and Circuits

www.epixnet.org

Action Line: Optical, opto-electronic, & photonic functional components

Contact Person:
Name: BAETS, ROEL
Email: Roel.Baets@intec.UGent.be

Organisation:
INTERUNIVERSITAIR MICRO-ELECTRONICA CENTRUM
KAPELDREEF 75
3001 LEUVEN
BELGIUM

The leading thread of this NoE is photonic integration. The integration of complex or high perform-
ance photonic functions will become the key enabler for a cost-effective and ubiquitous deployment of
photonics in a wide range of applications, including ICT, sensors and biomedical applications. The
technologies needed for photonic integrated components and circuits are characterised by high invest-
ment and exploitation cost. This calls for more integration of research at an international level. There-
fore the mission of ePIXnet is three-fold. The first objective is to stimulate the restructuring of the
photonic integration research community from a model of independent or collaborative research to-
wards a model of integrated research. The second is to stimulate training activities as well as integra-
tion of educational programs. The third objective is to stimulate new opportunities for photonic inte-
gration in a wide range of application domains. The NoE will contribute to the strategic objective:
Optical, opto-electronic, photonic functional components and will focus on five major themes:
photonic integration technology, nanophotonics, advanced semiconductor materials, ultra fast light
sources and ultra fast signal processing…

Project ref. 4525 Contract type : Network of Excellence


Start Date: 2004-09-01 End Date: 2008-08-31
Project Funding: 6.00 million euro

Participants Country
INSTITUTE OF RADIO ENGINEERING AND ELECTRONICS CZECH REPUBLIC
ACADEMY OF SCIENCES OF THE CZECH REPUBLIC
TECHNISCHE UNIVERSITAET BERLIN GERMANY
POLITECNICO DI TORINO ITALY
TECHNISCHE UNIVERSITEIT EINDHOVEN THE NETHERLANDS
UNIVERSIDAD CARLOS III DE MADRID SPAIN
UNIVERSIDAD POLITECNICA DE VALENCIA SPAIN
COMMISSARIAT A L'ENERGIE ATOMIQUE FRANCE
ECOLE POLYTECHNIQUE FEDERALE DE LAUSANNE SWITZERLAND
THE CHANCELLOR, MASTER AND SCHOLARS UK
OF THE UNIVERSITY OF CAMBRIDGE
RAITH GMBH GERMANY
COREOPTICS GMBH GERMANY

30/11/2005 Dissemination level : Public Page 253/273


IST-017255 MONA Deliverable D1.1 (M4)

FORSCHUNGSVERBUND BERLIN E.V. GERMANY


UNIVERSITAET DUISBURG-ESSEN - STANDORT DUISBURG GERMANY
UNIVERSIDAD AUTONOMA DE MADRID SPAIN
INSTITUT NATIONAL DES SCIENCES APPLIQUEES DE RENNES FRANCE
BUNDESMINISTERIUM FÜR WIRTSCHAFT UND ARBEIT GERMANY
UNIVERSITY OF GLASGOW UK
Gesellschaft für Angewandte Mikro- Und Optoelektronik mbH GERMANY
Fraunhofer Gesellschaft zur Förderung der angewandte Forschung e.V. GERMANY
HYMITE A/S DENMARK
BOOKHAM TECHNOLOGY PLC UK
LEICA MICROSYSTEMS LITHOGRAPHY LIMITED UK
THREEFIVE PHOTONICS B.V. NETHERLANDS
UNIVERSITEIT TWENTE NETHERLANDS
UNIVERSITY OF SOUTHAMPTON UK
DANMARKS TEKNISKE UNIVERSITET DENMARK
THE UNIVERSITY COURT OF THE UNIVERSITY OF ST ANDREWS UK
KUNGLIGA TEKNISKA HOEGSKOLAN SWEDEN
RHEINISCH-WESTFAELISCHE TECHNISCHE HOCHSCHULE AACHEN GERMANY
THALES FRANCE
CENTRE NATIONAL DE LA RECHERCHE SCIENTIFIQUE FRANCE
EIDGENOESSISCHE TECHNISCHE HOCHSCHULE ZUERICH SWITZERLAND

2) PHOREMOST

www.phoremost.org/

NANOPHOTONICS TO REALIZE MOLECULAR-SCALE TECHNOLOGIES

Action Line: Optical, opto-electronic, & photonic functional components

Contact Person:
Name: SOTOMOYER TORRES, Clivia
Email: clivia.sotomayor@nmrc.ie

Organisation:
UNIVERSITY COLLEGE CORK, NATIONAL UNIVERSITY OF IRELAND, CORK
Lee Maltings, Prospect Row
IRELAND

It is proposed to establish a Network of Excellence in the area of Nanophotonics and Molecular


Photonics to address the near- and long term needs of photonic functional components. PHOREMOST
builds on the critical mass existing in Europe in this emerging area, rapidly developing as a result of
the concomitant progress in nanostructured materials, nanofabrication technologies, nano-scale charac-
terisation techniques, novel concepts linking electromagnetic radiation in electronic and optical sys-
tems, recent concepts involving optical properties of non-periodic, fractal and quasi-crystal structures,
as well as a better understanding of non-linear properties of molecules. The main driving force behind
nanophotonics is the expectation to access the molecular scale dispensing with electrical con-
tacts.PHOREMOST will integrate the activities in the nanophotonics area of 34 pioneering and
world- leading partners from universities, research centres and industry…

Project Reference: 511616 Contract Type: Network of Excellence


Start Date: 2004-10-01 End Date: 2008-09-30
Project Funding: 4.70 million euro

Participants Country

30/11/2005 Dissemination level : Public Page 254/273


IST-017255 MONA Deliverable D1.1 (M4)

UNIVERSITAET DORTMUND GERMANY


WEIZMANN INSTITUTE ISRAEL
CONSEJO SUPERIOR DE INVESTIGACIONES CIENTIFICAS SPAIN
UNIVERSITAT POLITECNICA DE CATALUNYA SPAIN
UNIVERSITA DEGLI STUDI DI ROMA "LA SAPIENZA" ITALY
UNIVERSITA DEGLI STUDI DI PAVIA ITALY
FOUNDATION FOR RESEARCH AND TECHNOLOGY HELLAS GREECE
VALTION TEKNILLINEN TUTKIMUSKESKUS FINLAND
CHALMERS TEKNISKA HOGSKOLA AB SWEDEN
BILKENT UNIVERSITESI TURKEY
INSTITUTE OF MOLECULAR AND ATOMIC PHYSICS OF BELARUS
THE NATIONAL ACADEMY OF SCIENCES OF BELARUS
NANOCOMMS LIMITED IRELAND
UNIVERSITE DE DROIT, D'ECONOMIE ET DES SCIENCES FRANCE
D'AIX-MARSEILLE III
Ioffe Physico-Technical Institute of the Russian Academy of Sciences RUSSIAN FEDERATION
VILNIAUS PEDAGOGINIS UNIVERSITETAS LITHUANIA
CORECOM - CONSORZIO RICERCHE ELABORAZIONE ITALY
COMMUTAZIONE OTTICA MILANO
KOC UNIVERSITY TURKEY
UNIVERSITAET HAMBURG GERMANY
FUNDACIO PRIVADA INSTITUT DE CIENCIES FOTONIQUES SPAIN
ECOLE NORMALE SUPERIEURE CACHAN FRANCE
VED CESKE REPUBLIKY CZECH REPUBLIC

3) NANO2LIFE

http://www.nano2life.org/

A network for bringing NANOtechnologies TO LIFE

Action Line: Interfaces between biological and non biological entities

Contact Person:
Name: BOISSEAU, Patrick
E Organisation:
COMMISSARIAT A L'ENERGIE ATOMIQUE
Technological Research Division
31-33 Rue de la Fédération
75752 PARIS
FRANCE mail: contact-this-project-via@cec.eu.int

The aim of Nano2Life is to merge existing European expertise and knowledge in the field of nanobio-
technology in order to keep Europe as a competitive partner of the US and Japan and to make it a
leader in nanobiotechnology transfer in 4 years time. Nano2Life is tackling fragmentation of European
nanobiotech by joining 23 so far unconnected dynamic, highly specialised and competent regions and
centres with experience in initiating and running nanobiotech programmes. Nano2Life aims to set the
basis of a virtual European NanobiotechInstitute, focused on the understanding of the nanoscale inter-
face between biological and non-biological entities, and its possible application in the area of complex
and integrated novel sensor technologies, for health care, Pharmaceuticals, environment, defence, food
safety, etc. The partners have agreed on a Joint Programme of Activity (JPA) designed:
- To develop joint research projects in 4 major technical platforms: functionalisation, handling, detec-
tion, integration of devices.
- To elaborate a joint IPR policy with a special focus on SMEs.

30/11/2005 Dissemination level : Public Page 255/273


IST-017255 MONA Deliverable D1.1 (M4)

- To develop novel education and training with special emphasis on the scientific community of the
candidate countries.
- To build a future common RTD platform with shared facilities, knowledge, methods, electronic
communications and integrated management.

Project Reference: 500057 Contract Type: Network of Excellence


Start Date: 2004-02-01 End Date: 2008-02-01
Project Funding: 8.80 million euro

Participants Country
FONDATION SUISSE POUR LA RECHERCHE EN MICROTECHNIQUE SWITZERLAND
DANMARKS TEKNISKE UNIVERSITET DENMARK
GESELLSCHAFT FUER BIOANALYTIK MUENSTER E.V. GERMANY
CENTECH GMBH GERMANY
FOUNDATION FOR RESEARCH AND TECHNOLOGY HELLAS GREECE
NATIONAL CENTER FOR SCIENTIFIC RESEARCH DEMOKRITOS GREECE
TECHNISCHE UNIVERSITAET KAISERSLAUTERN GERMANY
JOHANNES GUTENBERG UNIVERSITAET MAINZ GERMANY
COMMISSION OF THE EUROPEAN COMMUNITIES –
DIRECTORATE GENERAL JOINT RESEARCH CENTRE BELGIUM
CENTRE NATIONAL DE LA RECHERCHE SCIENTIFIQUE FRANCE
UNIVERSITAET DES SAARLANDES GERMANY
UNIVERSITY COLLEGE CORK, NATIONAL UNIVERSITY OF IRELAND, CORK IRELAND
TEL AVIV UNIVERSITY ISRAEL
UNIVERSITY OF NEWCASTLE UPON TYNE UNITED KINGDOM
WESTFAELISCHE WILHELMS - UNIVERSITAET MUENSTER GERMANY
LUNDS UNIVERSITET SWEDEN
UNIVERSITEIT TWENTE NETHERLANDS
INSTITUT NATIONAL DE LA SANTE ET DE LA RECHERCHE MEDICALE FRANCE
ECOLE POLYTECHNIQUE FEDERALE DE LAUSANNE SWITZERLAND
NANOBIONET E.V. GERMANY
PARC CIENTIFIC DE BARCELONA SPAIN
FRAUNHOFER-GESELLSCHAFT ZUR FOERDERUNG GERMANY
DER ANGEWANDTEN FORSCHUNG E.V.

4) NANOFUN-POLY

http://www.nanofun-poly.com

NANOSTRUCTURED AND FUNCTIONAL POLYMER-BASED MATERIALS AND


NANOCOMPOSITES

Action Line: Understanding materials phenomena

Contact Person:
Name: KENNY, Josè Maria
Email: contact-this-project-via@cec.eu.int

Organisation:
CONSORZIO INTERUNIVERSITARIO NAZIONALE PER LA SCIENZA E TECNOLOGIA DEI
MATERIALI
INSTM Res. Unit of Perugia - MATERIALS SCIENCE AND TECHNOLOGY LAB -
DEPARTMENT OF CIVIL AND ENVIRONMENTAL ENGINEERING - UNIVERSITY OF
PERUGIA
Via B. Varchi, 59

30/11/2005 Dissemination level : Public Page 256/273


IST-017255 MONA Deliverable D1.1 (M4)

50132 FIRENZE
ITALY

The main objective of NANOFUN-POLY is to generate a Network of Excellence designed to become


the European reference point on Multifunctional Nanostructured Polymers and Nanocomposite Mate-
rials. This objective will be reached through a trans-disciplinary partnership of 150 scientists combin-
ing excellence in different scientific areas, where the synergy of international excellence and multidis-
ciplinary approaches will lead to develop and spread knowledge in innovative functional and structural
polymer-based nanomaterials and their sustainable technologies. Applications that will benefit from
NANOFUN-POLY concern strategic industrial sectors which can be competitive only by using ad-
vanced technologies: optoelectronics and telecommunications, packaging, agriculture, building con-
struction, automotive and aerospace, etc. Europe can now develop an integrated approach from mac-
romolecular and supramolecular chemistry to tailored design and advanced processing methods for
polymer-based nanostructured polymers and nanocomposites…

Project Reference: 500361 Contract Type: Network of Excellence


Start Date: 2004-06-01 End Date: 2008-06-01
Project Funding: 6.60 million euro

Participants Country

Parco Tecnologico dell'Umbria - Sitech s. cons. a.r.l. ITALY


Rete Ventures S.c.r.l. ITALY
Institut für Polymerforschung Dresden e.V. GERMANY
Utrecht University NETHERLANDS
SICOMP AB SWEDEN
Consejo Superior de Investigaciones Cientificas SPAIN
Institute of Macromolecular Chemistry, Academy of Sciences Of the Czech Republic CZECH
REPUBLIC
Politechnika Lodzka (Technical University of Lodz) POLAND
National Institute of Applied Sciences of Lyon FRANCE
Foundation for Research and Technology Hellas GREECE
FUNDACION INASMET SPAIN

5) NANOBEAMS

https://www.nanobeams.org/

Nanoanalysis using finely focused ion and electron beams

Action Line: Handling and control instrumentation at the level of of single atoms or molecules and/or
< 10 nm

Contact Person:
Name: MIGEON, Henri Noël
Email: contact-this-project-via@cec.eu.int

Organisation:
Centre de RESherche Public - Gabriel Lippmann
Laboratoire d'Analyse des Matériaux (LAM)
162A, avenue de la Faïencerie
1511 Luxembourg
LUXEMBOURG

30/11/2005 Dissemination level : Public Page 257/273


IST-017255 MONA Deliverable D1.1 (M4)

In order to match the resolution required for the development of nanomaterials and provide analytical
information, this NoE concentrates on analytical techniques using focused ion and electron beams:
SIMS /AES /TEMEuropean industries have a leadership in this field: - the world leader for D-SIMS is
a French SME (Cameca)- amongst the two producers of S-SIMS one is a German SME (ION-TOF)-
one of the 3 producers of AES is in England (TE),- analytical TEM equipment is produced by 1 Ger-
man, 1 Dutch and 1 Japanese company. The European academic labs played a major role in the devel-
opment of these techniques. This NoE will bring together the manufacturers mentioned above in
brackets as well as the leading scientists and users in the academic labs…

Project Reference: 500440 Contract Type: Network of Excellence


Start Date: 2004-12-02 End Date: 2006-01-01
Project Funding: 5.00 million euro

Participants Country

RWTH Aachen University GERMANY


UNIVERSITEIT ANTWERPEN BELGIUM
Orsay-Physics FRANCE
VG Systems Limited UNITED KINGDOM
Facultés universitaires Notre-Dame de la Paix BELGIUM
ION-TOF gmbH Gesellschaft für Massenspektrometer GERMANY
Université catholique de Louvain BELGIUM
CAMECA S.A.S. FRANCE
Westfälische Wilhelms-Universität Münster GERMANY
Chancellor Masters and Scholars of the University of Oxford UNITED KINGDOM
UNIVERSITE DE ROUEN FRANCE

6) SANDIE

http://www.sandie.org/

Self-Assembled semiconductor Nanostructures for new Devices in photonics and Electronics

Action Line: Self-organisation and self-assembling

Contact Person:
Name: GRUNDMANN, Marius
Email: contact-this-project-via@cec.eu.int

Organisation:
Universität Leipzig
Institut für Experimentelle Physik II
Ritterstr. 26
4109 Leipzig
GERMANY

The Network of Excellence is dedicated to the formation of an integrated and cohesive approach to
research and knowledge in the field of Self-Assembled semiconductor Nanostructures (SAN).
These nanostructures can then be cemented in position by the deposition of further layers of the sub-
strate material. By varying the semiconductor materials involved, the growth conditions, and by verti-
cally stacking layers of nanostructures, a rich variety of novel materials can be produced for the study
of the fundamental properties of strongly confined systems, and for the development of advanced elec-
tronic and optoelectronic devices. The resources and the approach of the Network reach from the study
of fundamental phenomena to their exploitation for the design of novel materials and structures for use
in advanced electronic, photonic and optoelectronic devices. In particular the activities on devices are

30/11/2005 Dissemination level : Public Page 258/273


IST-017255 MONA Deliverable D1.1 (M4)

tightly integrated with European industrial partners of the Network. The Network will spread excel-
lence outside the Network, in particular to the new member states via gateway institutes in the new
member states…

Project Reference: 500101 Contract Type: Network of Excellence


Start Date: 2004-07-01 End Date: 2008-07-01
Project Funding: 9.20 million euro

Participants Country
Consejo Superior de Investigaciones Científicas SPAIN
The University of Sheffield UNITED KINGDOM
THE UNIVERSITY OF NOTTINGHAM UNITED KINGDOM
Universiteit Antwerpen (UIA) BELGIUM
NSC Nanosemiconductors GmbH GERMANY
Technische Universität Wien AUSTRIA
Toshiba Research Europe Limited UNITED KINGDOM
Fritz-Haber-Institut der Max-Planck-Gesellschaft GERMANY
Institut-National-des-Sciences-Appliquées-de-Rennes FRANCE
A. F. loffe Physico-Technical Institute RUSSIAN
FEDERATION
Bookham Technology PLC UNITED KINGDOM
Technische Universität Berlin GERMANY
Universidade de Aveiro PORTUGAL
UNIVERSIDAD DE CADIZ SPAIN
Universität Dortmund GERMANY
Heriot-Watt University UNITED KINGDOM
Johannes Kepler Universität Linz AUSTRIA
Lunds universitet SWEDEN
UNIVERSITE PARIS-SUD XI FRANCE
UNIVERSITAT DE VALENCIA ESTUDI GENERAL SPAIN
AIXTRON AG GERMANY
Consiglio Nazionale delle Ricerche – ITALY
Instituto dei Materiali per I'Elettronica e il Magnetismo
Technische Universiteit Eindhoven NETHERLANDS
Centre National de la RESherche Scientifique – FRANCE
Délégation Ile de France Ouest et Nord
Katholieke Universiteit Leuven BELGIUM

7) METAMORPHOSE

http://www.metamorphose-eu.org/

MetaMaterials ORganized for radio, millimeter wave, and PHOtonic Superlattice Engineering

Action Line: Understanding materials phenomena

Contact Person:
Name: TRETYAKOV, Sergei
Email: contact-this-project-via@cec.eu.int

Organisation:
Helsinki University of Technology
Radio Laboratory, Helsinki University of Technology
Otakaari 1

30/11/2005 Dissemination level : Public Page 259/273


IST-017255 MONA Deliverable D1.1 (M4)

PO Box 1000
02015 HUT Espoo
FINLAND

The main scientific objective of this Network is to develop new types of artificial materials, called
metamaterials, with electromagnetic properties that cannot be found among natural materials. The
results of this development should lead to a conceptually new range of radio, microwave, and optical
technologies, based on revolutionary new materials made by large-scale assembly of some basic ele-
ments (microscopic and baroscopic) in unprecedented combinations. These artificial electromagnetic
functional materials are engineered to satisfy the prescribed requirements. Joint research activities of
this Network will include composite materials with extreme electromagnetic properties (such as "left-
handed" media and materials with null-valued effective parameters), electrically controllable materi-
als, stop band materials, met geometries like fractals and quasi-periodical structures, artificial surfaces
and sheets. The strategic objective to develop new met materials means opening anew branch of re-
search in the multidisciplinary field of material physics, electromagnetic, optics, radio engineering,
and electronics…

Project Reference: 500252 Contract Type: Network of Excellence


Start Date: 2004-06-01 End Date: 2008-06-01
Project Funding: 4.40 million euro

Participants Country
UNIVERSITAT POLITECNICA DE CATALUNYA SPAIN
University of Glasgow UNITED KINGDOM
Rheinisch Westfälische Technische Hochschule Aachen (University of Technology) GERMANY
St. Petersburg Electrotechnical University RUSSIAN
FEDERATION
THALES FRANCE
UNIVERSIDAD PUBLICA DE NAVARRA SPAIN
Universidad del País Vasco / Euskal Herriko Unibertsitatea SPAIN
Università degli Studi di Siena ITALY
Bilkent University TURKEY
Centre National de la Recherche Scientifique FRANCE
University of Southampton UNITED KINGDOM
Universitat Autonoma de Barcelona SPAIN
The Queens University of Belfast UNITED KINGDOM
Institute of Electronic Materials Technology POLAND
Chalmers tekniska högskola AB SWEDEN
University of ROMA TRE - Department of Applied Electronics ITALY
Université Paris-Sud FRANCE
Loughborough University UNITED KINGDOM
Warsaw University POLAND
ECOLE POLYTECHNIQUE FEDERALE DE LAUSANNE SWITZERLAND
Foundation for Research and Technology – Hellas GREECE
Université catholique de Louvain BELGIUM

8) ERA-SPOT (Programme ERA-NET 2005)


http://www.cordis.lu/coordination/era-net.htm

Full title:
Strengthening Photonics and Optical Technologies for Europe
Research field:
Optics, photonics, optoelectronics and laser technology
Co-ordinator:
Germany: VDI Technologiezentrum GmbH
Partners:

30/11/2005 Dissemination level : Public Page 260/273


IST-017255 MONA Deliverable D1.1 (M4)

• Austria: Austrian Research Promotion Agency (FFG) -


Federal Ministry for Transport, Innovation and Technology (BMVIT)
• France: National Center for Scientific Research (CNRS)
• France: Ministry of Research (MR-DR)
• Germany: Ministry of Education and Research (BMBF)
• Ireland: Enterprise Ireland
• Slovenia: Ministry of Higher Education, Science and Technology (MHEST)
• Sweden: Swedish Agency for Innovation Systems (VINNOVA)
Further information:
Mr Sebastian Krug
VDI Technologiezentrum GmbH
P.O. Box 10 11 39
DE-40002 Düsseldorf
Fax: +49 211 6214 484
e-mail: krug@vdi.de
website: www.vditz.de
Duration:
3 years
EC funding:
€ 880 000
Project reference:
CA 016224

9) Coordination Action MNT (Programme ERA-NET 2005)

http://www.cordis.lu/coordination/era-net.htm

Full title:
From micro- and nano-scale science
to new technologies for Europe
Research field:
Nanotechnology, micro-systems, microtechnology
Coordinator:
Austria: Austrian Research Promotion Agency, Division General Programmes (FFG BP)
Partners:
• Belgium: Instituut voor de Aanmoediging van Innovatie door Wetenschap en Technologie in
Vlaanderen (IWT)
• Belgium: Directorate General for Technologies, Research and Energy (DGTRE)
• Finland: National Technology Agency (TEKES)
• France: Conseil Régional Midi- Pyrenées
• Germany: VDI/VDE Innovation + Technik GmbH
• Germany: Forschungszentrum Karlsruhe (PT Karlsruhe)
• Ireland: Enterprise Ireland
• The Netherlands: SenterNovem
• Norway: The Research Council of Norway
• Poland: Ministry of Scientific Research and Information Technology (MSRIT)
• Romania: Politehnica University of Bucharest (PUB)
• Slovakia: Slovak Academy of Sciences (SAS)
• Slovenia: Ministry of Education, Science and Sport (MESS)
• Spain: Industry, Trade and Tourism Department of the Basque Government
• Spain: Ministry for Education and Science (MEC)
• Sweden: Swedish Agency for Innovation Systems (Vinnova)
• Switzerland: TEMAS AG Technology Management
• United Kingdom: Invest Northern Ireland (Invest NI)
Further information:
Dipl.-Ing. Mag.(FH) Reinhard Zeilinger,

30/11/2005 Dissemination level : Public Page 261/273


IST-017255 MONA Deliverable D1.1 (M4)

Forschungsförderungsgesellschaft
Division General Programmes
P.O. Box 364
Kärtnerstrasse 21
AT-1015 Wien
e-mail: reinhard.zeilinger@ffg.at
website: www.ffg.at
Duration:
4 years
EC funding:
€3.1 million
Project reference:
CA 517827

10) Nanosci-ERA (Programme ERA-NET 2005)

http://www.cordis.lu/coordination/era-net.htm

Full title:
Nanoscience in the European Research Area
Research field:
Nanoscience
Co-ordinator:
France: Centre Nationale de la Recherche Scientifique
Partners:
• Austria: Fonds zur Förderung der Wissenschaftlichen Forschung
• Finland: Academy of Finland
• France: Commissariat à l’Energie Atomique
• France: Ministère Délégué à la Recherche
• Germany: Deutsche Forschungsgemeinschaft
• Israel: Israel Science Foundation
• Italy: Istituto Nazionale per la Fisica della Materia
• The Netherlands: Stichting FOM
• The Netherlands: Technologiestichting STW
• Spain: Ministerio de Educación y Ciencia
• UK: Engineering and Physical Sciences Research Council
Further information:
Dr Izo Abram
CNRS
3, rue Michel-Ange
FR-75794 Paris Cedex 16
Fax: +33 (0)1 44 96 53 20
e-mail: izo.abram@cnrs-dir.fr
website: www.nanoscienceeurope.net
Duration:
3 years
EC funding:
€2 200 000
Project reference:
CA 016146

11) COST 288 NANOSCALE AND ULTRAFAST PHOTONICS

http://cost.cordis.lu/src/action_detail.cfm?action=288

Programme: COST - European Cooperation in the Field of Scientific and Technical Research.

30/11/2005 Dissemination level : Public Page 262/273


IST-017255 MONA Deliverable D1.1 (M4)

Partners:
Armenia (State Engineering University of Armenia)
Belarus (National Academy of Sciences of Belarus)
Belgium (Faculte Politechniqu'e de Mons, Vrije Universiteit Brussel, Universiteit Gent)
Bulgaria (Institute of Solid State Physics)
Czech Republic (Institute of Radio Engineering Electronics, Institute of Physics - Academy of Sci-
ences of the Czech Republic Prague)
Denmark (Technical University of Denmark)
Finland (Tampere University of Technology, Helsinki University of Technology)
France (LAAS, Ecole Polytechnique, Telecom Paris, Laboratoire de Photonic et de Nanostructures,
INSA Toulouse, 1 Ecole National d' Ingenieurs de Brest, SUPELEC - Ecole Superieure d' Electricite)
Germany (TU Darmstadt, Universitat Wurzburg, Friedrich - Schillel - Universitat Jena, Universitat
Ulm - Facultat fur Ingenieurwissenschaften, fern Universitat in Hagen, Paul - Drude - Institut fur
PestKorperelektronik, Technichen Universitat Berlin, Universitat Munster, Fraunhofer HHI, WIAS)
Greece (RESIT/AIT, National and Capodistrian University of Athens, FORTH)
Hungary (Budapest University of Technology and Economics)
Israel (Technion)
Italy (Politecnico di Bari, Politecnico di Torino, Politecnico di Milano, La Sapienza, Universita Degli
Studi di Pavia)
Lithuania (Institute of Physics Vilnius)
Netherlands (Tesnische Universiteit Eindhoven, Vrije Universiteit Amsterdam)
Poland (National Institute of Telecommunications, Institute of Physics - Wroclaw University of
Technology, Witamy w£odzi, Uniwersytetu Warszawskiego)
Portugal (Physics Dept of Universidade de Aveiro)
Russia (Ioffe Institute)
Spain (Universidad de Valencia, Universitat de les Illes Balears, Universidad de Carlos III, Universi-
dad autonoma de Madrid, Universidad de Cantabria)
Sweden (KTH, Chalmers Institute of Technology, IFM)
Switzerland (EPFL, Exalos, ETH Zurich)
Turkey (Koc University, Bilkent University, Akdeniz University, Instabul University, Cumhuriyet
University)
United Kingdom and Ireland (U. of Essex, U. of Bristol, U. of Cardiff, U. of Bath, U. of Glasgow,
U. of Nottingham, U. of Warwick, U. of Sheffield, U. of Strathclyde, U. of St. Andrews, U. of Liver-
pool, U. of Surrey, Imperial College, Centre for Integrated Photonics, NMRC, U. of Cork Ireland,
Dublin City U. - School of Electronic Engineering)
Multinationals (Agilent, Compuserve, Optospeed, Bookham, Intenseco, Infineon).

AIT Funding: Funding of short missions as well as of travel and subsistence costs

Project Start Date: 04/04/2003 Project Duration: 48 months

Goals:
The primary objective of this COST action is to advance the application of ultrafast nanophotonics to
provide high capacity photonic communication infrastructures, as well as to bring forward advanced
research on novel topics such as advanced optical signal processing and optical logic, microwave
photonics and exploratory technologies (based on nanophotonics) such as quantum communications.

Project WEB site: http://cost.cordis.lu/src/action_detail.cfm?action=288


http://www.een.bristol.ac.uk/cost288/home.html

12) COST ACTION P8

Materials and Systems for Optical Data Storage and Processing


The main objective of the Action P8 is to foster long-term development of optical data storage in
Europe so that this technology can be exploited to best advantage. The goal is to increase knowledge

30/11/2005 Dissemination level : Public Page 263/273


IST-017255 MONA Deliverable D1.1 (M4)

about materials for optical storage and to develop and characterize optimized systems that will meet
future industrial social, cultural and economic needs.

The specific objectives are:

§ to establish international relations in Europe within the field of optical data storage
§ to stimulate and promote collaborative research
§ to implement an effective and sustained programme of short term scientific missions

The Action focuses on both advanced technologies and materials which have the potential to satisfy
the ever increasing demands of computer systems in terms of high capacity and high data transfer
rates. The proposed Action is also aimed at improving international competitiveness and industrial
relevance of European research in the field of optical data storage and processing.

Duration: 24/01/2002 .. 05/03/2006

Related Information: http://moebius.physik.tu-berlin.de/cost_p8/index_ie.html

Partners
Technische Universität Berlin, Germany (Co-ordinator)
Universite de Liege, Belgium
Universitares Notre-Dame de la Paix, Belgium
Central Laboratory of Optical Storage and Processing of Information, Bulgaria
Forskningscenter RISO, Denmark
Aalborg Universitet, Denmark
Université d'Angers, France
Université de Stasbourg, France
Laboratoire Charles Fabry de l'Institut d'Optique, France
Universität zu Köln, Germany
University of Bonn, Germany
Westfälische Wilhelms-Universität, Germany
University of Technology Darmstadt, Germany
RWTH Aachen, Germany
The National Hellenic Research Foundation (NHRF), Greece
University of Patras, Greece
Research Institut for Solid State Physics & Optics, Hungary
Budapest University of Technology and Economics, Hungary
Dublin Institute of Technology, Ireland
NMRC, Ireland
Università di Ancona, Italy
Università di Ferrara, Italy
Univesità della Calabria, Italy
CoreCom, Italy
Institute of Solid State Physics. Latvia
Nonlinear Optics and Spectroscopy Laboratory, Lithiunia
Politechnika Krakowska, Poland
National Institute of Lasers, Romania
National Institute of Materials Physics, Romania
Consejo Superior de Investigaciones Científicas (CSIC), Spain
Universidad de Zaragoza, Spain
Swiss Federal Institute of Technology (ETH), Switzerland
University of Manchester, United Kingdom

Research Groups: Nanotechnology


Contacts : nanotechnology@tyndall.ie

30/11/2005 Dissemination level : Public Page 264/273


IST-017255 MONA Deliverable D1.1 (M4)

13) European Network of Excellence on Photonic Integrated Components and Circuits

Project number: 135507

Abstract: The leading thread of this NoE is photonic integration. The integration of complex or high
performance photonic functions will become the key enabler for a cost-effective and ubiquitous de-
ployment of photonics in a wide range of applications, including ICT, sensors and biomedical applica-
tions. The technologies needed for photonic integrated components and circuits are characterised by
high investment and exploitation cost. This calls for more integration of research at an international
level. Therefore the mission of the ePIXnet is three-fold. The first objective is to stimulate the restruc-
turing of the photonic integration research community from a model of independent or collaborative
research towards a model of integrated research. The second is to stimulate training activities as well
as integration of educational programs. The third objective is to stimulate new opportunities for
photonic integration in a wide range of application domains. The NoE will contribute to the strategic
objective: Optical, opto-electronic, photonic functional components and will focus on five major
themes: photonic integration technology, nanophotonics, advanced semiconductor materials, ultrafast
light sources and ultrafast signal processing. The network brings together most of Europe's strongest
academic and industrial actors and will contribute to the quality of education and research by stimulat-
ing long lasting partnerships and by providing access to unique facilities and knowledge in the field.
To this end the steering committee has selected 5 specific Facility Access Activities and 9 specific
Joint Research Activities on the basis of their potential for research integration. The network will also
develop an active program of exchange of researchers, of institutional collaboration agreements, web-
based information exchange and dissemination etc. Furthermore the network will have an open policy
to include affiliate partners - in particular industrial affiliate partners.

Project type: Project (DTU record)

Status: Current

Published: 2004-2008

Organization: Interuniversitair Micro-Elektronica Centrum vzw

Contact: Bjarklev, Anders Overgaard

14) Network of Excellence on Photonic and Opto-Electronic Microdevices

http://eoi.cordis.lu/dsp_details.cfm?ID=32081

Acronym : POEM

Organisation: KOÇ UNIVERSITY

Contact person details:


Assoc. Prof. Dr. Ali Serpengüzel
Koç University - Optoelectronics Research Center
Rumeli Feneri Yolu, Sariyer, Istanbul 34450 Turkey
Phone: +90 (212) 3381312
Fax: +90 (212) 3381547
Emailto: aserpenguzel@ku.edu.tr

Sub-Thematic Priority most relevant to your topic:


1.1.2.iii Components and microsystems

Other relevant Sub-Thematic Priorities:

30/11/2005 Dissemination level : Public Page 265/273


IST-017255 MONA Deliverable D1.1 (M4)

1.1.3.i Nanotechnologies and Nanosciences

Abstract:
Optical communication needs high speed and high bandwidth active and passive components. Dense
wavelength division multiplexing offers an appropriate paradigm for the high bandwidth requirement.
Optoelectronic microdevices such as microcavities and photonic crystals are ideal for the spectral con-
trol of the optical communication channel. These devices will be used to reduce the linewidth of indi-
vidual channels and increase the bandwidth of the overall optical communication system. Active de-
vices such as semiconductor diode lasers and resonant cavity enhanced photodetectors will be
manufactured from semiconductors. Passive devices such as channel dropping and channel adding-
filters will be manufactured from dielectric materials. After individual device characterisation, the
components will be integrated into the optical communication system.

Additional document: eoi-POEM.doc

15) European laboratory for silicon-based nanophotonics

Acronym : Si-NANOPHOTONICS

Organisation: FOM-Institute for Atomic and Molecular Physics

Contact person details:


Prof. Dr Albert Polman
Kruislaan 407
NL-1098 SJ Amsterdam
The Netherlands
Phone: +31206081234
Fax: +31206684106
Emailto:polman@amolf.nl

Sub-Thematic Priority most relevant to your topic: 1.1.2.iii Components and mi-
crosystems

Other relevant Sub-Thematic Priorities: 1.1.3.i Nanotechnologies and Nanosciences

Abstract:
Photonic technology will be one of the enabling technologies of the 21st century. Silicon nanotechnol-
ogy can play a key role to make this technology a success. The objective of this Network of Excel-
lence is to develop novel materials, concepts, and prototype photonic devices, all based on silicon, in
order to reduce cost, increase performance and improve reconfigurability, scalability, and adaptability
of micro- and nanoscale photonic circuits. It brings together 22 European institutes with a total of 120
researchers who are specialist on Si nanophotonics. These institutes will integrate their activities into a
(virtual) European laboratory for silicon nanophotonics. Eight multidisciplinary research areas are
defined, that will be organised within 8 departments, addressing fundamental knowledge on photonic
phenomena in Si nanostructures, novel materials science concepts, nano-fabrication technology, and
theory. Application of silicon photonic nanostructures in biological lab-on-a-chip structures is also
explored. Several European industries are identified to have a key interest in this Network, and two
companies are major partners in this application.

Additional document:
EOI Silicon Nanophotonics Network of Excellence June 7.doc

16) Plasmo-nano-devices Network of Excellence:

http://www.plasmonanodevices.org/

30/11/2005 Dissemination level : Public Page 266/273


IST-017255 MONA Deliverable D1.1 (M4)

Plasmo-nano-devices is an IST Network of Excellence in the 6th Framework Programme of the Euro-
pean Union

Partners:
Laboratoire d'Optique Submicronique, Prof. A. Dereux (Network Coordinator) Université de Bourgo-
gne, France.
Photonics Group, Prof. W.L. Barnes University of Exeter, United Kingdom
Institute of Physics, Prof. S.I. Bozhevolnyi University of Aalborg, Denmark
Prof. B. Chichkov Laser Zentrum Hannover, Germany
ISIS, Prof. T.W. Ebbesen University Louis Pasteur Strasbourg, France
Near-field Optics Group, Prof. L.M. Eng technische Universitaet Dresden, Germany
Theory of Mesoscopic Systems Group, Prof. F.J. Garcia-Vidal Universidad Autonoma Madrid, Spain
Near-field Theory Group, Dr. C. Girard Centre d'Elaboration des Matériaux et d'Etudes Structurales,
France
Near-field Optics Group, Dr. U. Fischer Wesphalische Wilhelm Univerisaet Muenster, Germany
Nanotechnology and Life Science Division, Dr. H. Heinzelmann Cente Suisse d'Electronique et de
Microtechnique, Switzerland
Institute for Experimental Physics, Prof. J.R. Krenn Karl Franzens Universitaet Graz, Austria
Systems Science and Innovation Laboratory, Dr. K. Lawson Kodak Ltd, United Kingdom
Condensed Matter Group, Prof. M. Moreno Universidad de Zaragoza, Spain
Nanophotonics and Metrology Laboratory, Prof. O.J.F. Martin Ecole Polytechnique Fédérale de
Lausanne, Switzerland
Near-field Spectroscopy Group, Dr. A.V. Zayats Queen's University Belfast, United Kingdom

General description of the project

Surface plasmons are electromagnetic waves that propagate along the surface of a conductor. The
structure of a metal surface can be controlled by nanofabrication techniques in order to tailor the prop-
erties of surface plasmons and more specifically their interaction with visible and infra-red light,
thereby offering the potential for new photonic devices. Surface plasmons physics is being explored
for its potential in subwavelength optics, data storage, solar cells, microscopy and biosensing. Surface
plasmons photonics, also called plasmonics, could evolve as a promising candidate to satisfy the con-
straints of miniaturization of optical devices down to subwavelength sizes. An appealing feature of
plasmonic circuitry is that it enables to carry optical signals and electric currents through the same thin
metal circuitry, thereby opening the perspectives of unprecedented technical combinations to insert
electrically driven devices on the same circuitry on which light is propagating.

The research objectives of the network are to address the issues of miniaturized photonic circuitry by
exploiting physical phenomena related to surface plasmons. During the last years, the European labo-
ratories building the consortium of this network performed major contributions to the rapidly growing
field of plasmonic research. It is now essential to federate the human and technical resources spread in
Europe into a Network of Excellence aimed at developing prototypes of surface plasmon nanodevices
for controlling optical processes at the subwavelength scale.

17) SiNaNo Silicon-based Nanodevices

http://www.sinano.org/

SINANO is coordinated in Grenoble by the personnel of the French National Centre for Scientific
Research (CNRS, scientific coordinator : F. Balestra).

SINANO aims to strengthen European scientific and technological excellence in the field of electron-
ics, Si-based nanodevices for terascale integrated circuits (ICs). Over the next quarter century consid-
erable challenges exist to push the limits of silicon integration down to nanometric dimensions. These

30/11/2005 Dissemination level : Public Page 267/273


IST-017255 MONA Deliverable D1.1 (M4)

can be best addressed by integration, at the European level, of the individually excellent research ca-
pabilities already existing in main universities and national research centers.

SINANO’s activities, with long-term and multidisciplinary objectives, could herald a revolution in IC
technology, involving integration of nanoscale CMOS and emerging post-CMOS logic and memory
devices.

SINANO will work to enhance device performance and integration, to meet the ever increasing de-
mands of communications and computing. The network includes partners with expertise required in
developping these advanced devices, from basic materials science through design and fabrication to
characterisation and device modelling.

SINANO is a Network of Excellence founded by the European Commission for the 6th Framework
Programme under the EC Contract n° 506844, which started on January 1st, 2004. It belongs to the
Information Society Technologies programme (IST).

Partners Country
GESELLSCHAFT F. ANGEWANDTE MIKRO- UND OPTOELEKTRONIK mbH - AMO GMBH
Germany
ALMA MATER STUDIORUM - UNIVERSITA DI BOLOGNA Italy
THE UNIVERSITY OF BIRMINGHAM UK
COMMISSARIAT A L'ENERGIE ATOMIQUE France
CHALMERS UNIVERSITY OF TECHNOLOGY Sweden
CENTRE NATIONAL DE LA RECHERCHE SCIENTIFIQUE France
TECHNISCHE UNIVERSITEIT DELFT Netherlands
European Commission
ECOLE POLYTECHNIQUE FEDERALE DE LAUSANNE Switzerland
EIDGENOESSISCHE TECHNISCHE HOCHSCHULE ZUERICH Switzerland
FRAUNHOFER GESELLSCHAFT ZUR FOERDERUNG DER ANGEWANDTEN FORSCHUNG
E.V. Germany
FRANCE INNOVATION SCIENTIFIQUE ET TRANSFERT France
FORSCHUNGSZENTRUM JUELICH GMBH Germany
THE UNIVERSITY OF GLASGOW UK
GOETEBORG UNIVERSITY Sweden
RHEINISCH-WESTFAELISCHE TECHN. HOCHSCHULE AACHEN - INSTITUT FUER
HALBLEITERTECHNIK II Germany
INTERUNIVERSITAIR MICRO-ELECTRONICA CENTRUM VZW IMEC Belgium
IMEL/NCSR DEMOKRITOS Greece
Imperial College of Science, Technology & Medicine UK
INFINEON TECHNOLOGIES AG Germany
INSTITUTE OF SEMICONDUCTOR PHYSICS Ukraine
KUNGLIGA TEKNISKA HOEGSKOLAN Sweden
LINKOEPINGS UNIVERSITET Sweden
THE UNIVERSITY OF LIVERPOOL UK
NMRC - UNIVERSITY COLLEGE CORK Ireland
UNIVERSITA DEGLI STUDI DI PISA Italy
Politecnico di Milano Italy
SYNOPSYS Switzerland Ltd. Switzerland
THE UNIVERSITY OF SOUTHAMPTON UK
SEMICONDUCTOR PHYSICS INSTITUTE Lithuania
STMICROELECTRONICS SA France
TECHNISCHE UNIVERSITAET MUENCHEN Germany
Technische Universität Wien Austria
THE UNIVERSITY OF CAMBRIDGE UK
UNIVERSITE CATHOLIQUE DE LOUVAIN Belgium
UNIVERSITA DEGLI STUDI DI UDINE Otamu

30/11/2005 Dissemination level : Public Page 268/273


IST-017255 MONA Deliverable D1.1 (M4)

UNIVERSIDAD DE GRANADA Spain


THE UNIVERSITY OF NEWCASTLE UPON TYNE UK
UNIVERSITETSSTUDIENE PA KJELLER Norway
UNIVERSITAT ROVIRA I VIRGI Spain
UNIVERSITAET STUTTGART Germany
EBERHARD-KARLS-UNIVERSITAET TUEBINGEN Germany
UPPSALA UNIVERSITY Sweden
THE UNIVERSITY OF WARWICK UK
POLITECHNIKA WARSZAWSKA Poland

18) Nanoscale Quantum Simulations for Nanostructures and Advanced Materials


http://www.cmt.york.ac.uk/nanoquanta/

Nanoquanta is a Network of Excellence performing fundamental Physics research funded by the


European Commission's Sixth Framework Programme.

The Network performs research within the Third Thematic Priority of the Integrating and Strengthen-
ing the European Research Area activity of the Sixth Framework Programme: nanotechnologies and
nanosciences, knowledge-based multifunctional materials and new production processes and devices,
known as "NMP".

The Network is intended to operate from 1 June 2004 to 31 May 2008 and consists of 10 nodes and
over 100 researchers

Partners:

1. University of York (Team Leader: Prof. Rex Godby, Department of Physics)


2. Fritz-Haber-Institut, Berlin (Team Leaders: Prof. Matthias Scheffler, Dr. Arno
Schindlmayr and Dr. Patrick Rinke, Theory Department)
3. Freie Universität, Berlin (Team Leader: Prof. Hardy Gross, Department of Physics)
4. Friedrich-Schiller-Universität, Jena (Team Leader: Prof. Friedhelm Bechstedt and Dr.
Jürgen Furthmüller, Institut für Festkörpertheorie und Theoretische Optik)
5. Université Catholique de Louvain (Team Leader: Prof. Xavier Gonze, Unité de
Physico-Chimie et de Physique des Matériaux)
6. Lunds Universitet (Team Leaders: Prof. Carl-Olof Almbladh and Prof. Ulf von Barth,
Department of Solid-State Theory)
7. Universitá degli Studi di Milano (Team Leader: Prof. Giovanni Onida, Department of
Physics)
8. Laboratoire des Solides Irradiés*(Paris) (Team Leader: Dr. Lucia Reining)
9. Consiglio Nazionale delle Ricerche - Istituto Nazionale per la Fisica della Materia
(Rome) (Team Leader: Prof. Rodolfo Del Sole, Department of Physics, University of
Rome Tor Vergata)
10. Universidad del País Vasco / Euskal Herriko Unibertsitatea (San Sebastián) (Team
Leaders: Prof. Pedro Echenique and Prof. Angel Rubio, Facultad de Quimicas and
Donostia International Physics Center)
*The Laboratoire des Solides Irradiés is a joint research laboratory of Centre National de la Recherche
Scientifique, Commissariat à l'Energie Atomique and École Polytechnique.

Network Coordinator: Professor Rex Godby, University of York

Deputy Coordinator: Dr Lucia Reining, Laboratoire des Solides Irradiés

30/11/2005 Dissemination level : Public Page 269/273


IST-017255 MONA Deliverable D1.1 (M4)

Network Administrator: Mr Tony Patman, University of York

Network objectives:

"The FP6 Priority Thematic Areas recognise the crucial role of fundamental science in underpin-
ning and generating future technology. The ability to invent new functionalities for nanoscale sys-
tems and advanced materials, such as quantum dots, biomolecules, and carbon nanowires, and of
designing new devices for specific applications depend heavily on our understanding of the excita-
tion under irradiation by light, electron beams or modern photon sources (synchrotrons, ultra-fast
lasers), and also of the reaction of the environment to the electronic response. This Nanoquanta
Network of Excellence integrates and develops the research capabilities of ten European teams in
the field of the fundamental science of nanoscale systems and advanced materials, exploiting the
now powerful combination of quantum-mechanical theory and computer simulation to make con-
tact with nanoscience experimental studies and also directly with technologically relevant elec-
tronic, dynamic and optical processes. An ambitious reach-out programme is at the heart of the
project, first through dissemination of ideas, theories, algorithms and computer programs to the
wider European theory community through publication, workshops, conferences and training (both
Internet-based and hands-on), and also through two-way contact with experimental and applied
research groups in European universities, research institutions and other organisations. Our vision
can be summarised in the concept of an ongoing European Theoretical Spectroscopy Facility
(ETSF) for nanoscale systems and advanced materials, with strong links with a wide range of re-
search groups, which we shall develop from the collaborative research activity and reach-out initia-
tives to be established under the Nanoquanta Network of Excellence.

30/11/2005 Dissemination level : Public Page 270/273


IST-017255 MONA Deliverable D1.1 (M4)

7 Glossary
AFM: Atomic Force Microscope
ALD: Atomic Layer Depositon
AMLCD: Active Matrix LCDs
APCVD: Atmospheric Pressure CVD
APD: Avalanche Photodiodes .
AS-LED: Absorbing Substrate LED
ASNOM: Apertureless SNOM
ATR: Attenuated Total Reflection
BARC: Bottom Anti Reflective Coatings
BD: Blu-ray-Disc
Catadioptric system (optical system involving both, lenses and mirrors)
CCD: Charge-Coupled Device
CCVD: Combustion CVD
CFL: Cold Fluorescent Light (tubes also dubbed CCFL or CCFT)
CIS: Copper-Indium-Diselenide
CLA: Correction Lens Array
CMOS: Complementary Metal Oxide Semiconductor
CNT: Carbon Nanotube
CNT: Carbon Nanotubes
CNT-FED: Field Emitter Display based on Carbon Nanotubes
CW Continuous Wave
CRT: Cathode Ray Tube
CVD: Chemical Vapour Deposition
DBD: Dielectric Barrier Discharges
DBR: Distributed Bragg Reflector
DOF: Depth of Focus
DPN: Dip-Pen Nanolithography
DSSC: Dye-Sensitized Photoelectrochemical Cell (or “Grätzel cell”)
DSTN: Double Layer Super-Twist Nematic,
DUV: Deep Ultra Violet
EL: Electro-Luminescent
ENF: Excess Noise Factor
EPL: E-beam projection Lithography
ETL: Electron-Transport Layer
EUVL: extreme ultra violet lithography
FED: Field Emitting Display
FET: Field Effect Transistor
FIB: Focussing Ion Beam Lithography
FLC: Ferroelectric Lquid Crystals
FWHM: Full Width at Half Maximum
GLAD: Glancing Angle Deposition
GLV: Grating Light Valve
HBC: Hexabenzocorone
HB-LED: High Brightness LED
HIL: Hole-Injection Layer
HiPCO: High Pressure Carbon Monoxide (HiPCO)
HL: Holographic Lithography
IBE: Ion Beam Etching
IL: Interferometric Lithography
ILT: Interline Transfer
IPDS: Ion projection direct structuring
IPL: Ion Projection Lithography
IR: Infrared
ITO: Indium Tin Oxide

30/11/2005 Dissemination level : Public Page 271/273


IST-017255 MONA Deliverable D1.1 (M4)

LC: Liquid crystal


LCD: Liquid-Crystal Display
LCVD: Laser–Induced CVD
LD: LaserDiode
LED: Light Emitting Diode
LWIR: Long Wavelength Infrared
MBE: Molecular Beam Epitaxy
MEMS: Micro-Electro-Mechanical Systems
MERIE: Magnetically Enhanced RIE
MIBL: Masked Ion Beam Lithography
MIBS: Masked Ion Beam Direct Structuring
MIM: Metal-Insulator-Metal
MIMIC: Micromoulding in Capillaries
MO - HVPE: Metal Organic-Hydride Vapor Phase Epitaxy
MOCVD: Metal Organic Chemical Vapour Deposition (also: MOVPE, OMVPE)
MOPL: Maskless Optical Projection Lithography
MOS: Metal Oxide Semiconductor
MOSS: Multi-Beam Optical Stress Sensor
MQW: Multi-Quantum-Well ()
MRI: Magnetic Resonance Imaging
MWNT: Multi Walled Carbon Nanotube
NA: Numerical Aperture
NC: Nanocrystal
NGL: Next Generation Lithography
NIL: Nano-Imprint Lithography
NSOM: (or SNOM) Near-Field Scanning Optical Microscopy
nTP: Nanotranfer Printing
OAI: Off-Axis Illumination
ODR: Omnidirectional Reflector
OLED: Organic Light Emitting Diode
OPC: Optical Proximity Correction
OVPD: Organic Vapor Phase Deposition
OVPJ: Organic Vapor Jet Printing
PC: Photonic Crystal
PCF: Photonic Crystal Fiber
PEBBLE: Probe Encapsulated by Biologically Localized Embedding
PECVD: Plasma Enhanced Chemical Vapour Deposition
PEDOT:PSS: Poly-Ethylene Dioxythiophene doped with Polystyrene Sulphonic Acid)
PET: Positron Emission Tomography
PHCVD :Photo-Enhanced Chemical Vapour Deposition
PLED: Polymer OLED
PMLCD: Passive Matrix LCDs
PMMA: Polymethyl Methacrylate
PREVAIL: Projection Reduction Exposure With Variable Axis Immersion Lenses
PROFIB: Projection Focused Ion Multibeam
PSTM: Photon Scanning Tunneling Microscope ()
PVD: Physical Vapour Deposition
QD: Quantum dot
QW: Quantum Well
QWIP: Quantum Well Infrared Photodetectors
RCE: Resonant Cavity Enhanced
RET: Resolution Enhancement Techniques
RIE: Reactive Ion Etching
RTD: Resonant Tunneling Diode
SAMIN: Solvent-Assisted Micromoulding:
SCALPEL: Scattering with Angular Limitation Projection E-beam lithography

30/11/2005 Dissemination level : Public Page 272/273


IST-017255 MONA Deliverable D1.1 (M4)

ScL: Soft Contact Lamination


SED: Surface Conduction Electron Emitter Display
SEM: Scanning Electron Microscope
SEM: Scanning Electron Microscopy
SERS: Surface Enhanced Raman Scattering
S-FIL: Step and Flash Imprint Lithography
SHG: Second Harmonic Generation
SLM: Spatial Light Modulator
SOG: Spin-on Glass
SPR: Surface Plasmon Rresonance
SRAF: Sub Resolution Assist Feature Enhancement
SSFLC: Surface-Stabilized Ferroelectric Liquid Crystal
SSL: Solid State Lighting
STN: Super Twisted Nematic
SWNT: Single Walled Carbon Nanotube
TCR: Temperature Coefficient of Resistance
TF-LED: Thin-Film LED
TFT: Thin Film Transistor
TIR: Total Internal Reflection)
TMI: Trimethylindium
TN: Twisted Nematic
TSTN: triple supertwisted nematic.
UV: ultraviolet
XRL: X-Ray Lithography
YAG: Yttrium-Aluminum-Garnet
ZPAL: (Zone Plate Array Lithography
μCP: Micro Contact Printing
μTM: Micro Transfer Moulding

30/11/2005 Dissemination level : Public Page 273/273

You might also like

pFad - Phonifier reborn

Pfad - The Proxy pFad of © 2024 Garber Painting. All rights reserved.

Note: This service is not intended for secure transactions such as banking, social media, email, or purchasing. Use at your own risk. We assume no liability whatsoever for broken pages.


Alternative Proxies:

Alternative Proxy

pFad Proxy

pFad v3 Proxy

pFad v4 Proxy