0% found this document useful (0 votes)
17 views10 pages

Project 96 - Automatic Door Controller

The document presents a comprehensive study of an Automatic Door Controller system designed to enhance convenience, security, and energy efficiency through the use of sensors and actuators. It details the system's components, working mechanism, advantages, disadvantages, and various applications, while also providing technical information such as RTL code and testbench scenarios. The conclusion emphasizes the system's value in modern infrastructure despite challenges like high initial costs and maintenance needs.

Uploaded by

saileshff4
Copyright
© © All Rights Reserved
We take content rights seriously. If you suspect this is your content, claim it here.
Available Formats
Download as PDF, TXT or read online on Scribd
0% found this document useful (0 votes)
17 views10 pages

Project 96 - Automatic Door Controller

The document presents a comprehensive study of an Automatic Door Controller system designed to enhance convenience, security, and energy efficiency through the use of sensors and actuators. It details the system's components, working mechanism, advantages, disadvantages, and various applications, while also providing technical information such as RTL code and testbench scenarios. The conclusion emphasizes the system's value in modern infrastructure despite challenges like high initial costs and maintenance needs.

Uploaded by

saileshff4
Copyright
© © All Rights Reserved
We take content rights seriously. If you suspect this is your content, claim it here.
Available Formats
Download as PDF, TXT or read online on Scribd
You are on page 1/ 10

Project 96: Automatic Door

Controller
A Comprehensive Study of Advanced Digital Circuits

By: Abhishek Sharma , Ayush Jain , Gati Goyal, Nikunj Agrawal

Documentation Specialist: Dhruv Patel & Nandini Maheshwari

a
ph
Al
a m
Te
By
d
te
ea
Cr

1
Contents
1 Project Overview 3

2 Automatic Door Controller 3


2.1 Key Components of Automatic Door Controller . . . . . . . . . . . . . . . . . . . . . . . . 3
2.2 Working of Automatic Door Controller . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4
2.3 RTL Code . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4
2.4 Testbench . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6

3 Results 7
3.1 Simulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7
3.2 Schematic . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7
3.3 Synthesis Design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7

4 Advantages of Automatic Door Controller 8

5 Disadvantages of Automatic Door Controller 8

6 Applications of Automatic Door Controller 9

7 Conclusion 9

8 FAQs 10

a
ph
Al
a m
Te
By
d
te
ea
Cr

2
1 Project Overview
To design and implement an automatic door controller system that uses sensors and actuators to open
and close doors automatically, improving convenience, security, and energy efficiency. Automatic Op-
eration:
• Opens and closes doors based on user detection using sensors.
Hands-Free Access:

• Facilitates access without physical contact, enhancing hygiene.


Customizable Settings:
• Adjustable opening/closing speeds and hold times.

Security Integration:
• Supports password, RFID, or biometric authentication for controlled access (optional).
Energy Efficiency:
• Reduces energy loss in climate-controlled environments by minimizing unnecessary door operation.

2 Automatic Door Controller


a
ph
2.1 Key Components of Automatic Door Controller
Al
m

Sensors:
a
Te

• Infrared (IR), ultrasonic, or motion sensors for detecting users approaching the door.
By

Actuators:
d

• Electric motors or servo motors to operate the door mechanism.


te
ea

Microcontroller:
Cr

• A microcontroller (e.g., Arduino, Raspberry Pi) to control the system logic.


Power Supply:
• Provides electricity to the entire system, possibly including backup batteries.

Authentication Module (Optional):


• Keypad, RFID reader, or fingerprint scanner for secured access.
Mechanical Setup:

• Sliding or hinged door with a motor-driven mechanism.


Additional Features:
• LED indicators, alarms for unauthorized access, or integration with IoT for remote monitoring.
2.2 Working of Automatic Door Controller
Detection:

• Sensors detect a person approaching the door.

Control:

• The microcontroller processes the signal and triggers the motor to open the door.

Hold Time:

• The door remains open for a pre-set duration or until the person passes through.

Closure:

• The motor closes the door automatically after the hold time or when the path is clear.

Security (Optional):

• Access is granted only if authentication is successful.

2.3 RTL Code


Listing 1: Automatic Door Controller
1

2 module a u t o m a t i c _ d o o r _ c o n t r o l l e r (
a
ph

input logic clk , reset ,


Al

input logic motion_detected , / / Motion sensor input (1 = motion


am

4
Te

detected , 0 = no motion )
By

5 input logic manual_override , / / Manual override input (1 =


d
te

override active , 0 = normal )


ea

output logic door_open ,


Cr

6 // Door open output (1 = open , 0 =


closed )
7 output logic door_closed // Door closed output (1 = closed ,
0 = open )
8 );
9

10 / / Door states
11 typedef enum logic [1:0] { CLOSED = 2 ’ b00 , OPEN = 2 ’ b01 }
door_state_t ;
12 door_state_t current_state , next_state ;
13

14 / / State transition logic


15 always_ff @ ( posedge clk or posedge reset ) begin
16 if ( reset )
17 current_state <= CLOSED ; / / Start with door closed
18 else
19 current_state <= next_state ;
20 end
21

22 / / Next state and output logic


23 always_comb begin
24 case ( current_state )
25 CLOSED : begin
26 if ( manual_override ) begin
27 next_state = OPEN ; / / Manual override opens the
door
28 door_open = 1;
29 door_closed = 0;
30 end else if ( motion_detected ) begin
31 next_state = OPEN ; / / Motion detected opens the
door
32 door_open = 1;
33 door_closed = 0;
34 end else begin
35 next_state = CLOSED ; / / No motion and no override ,
door stays closed
36 door_open = 0;
37 door_closed = 1;
38 end
39 end
40

41 OPEN : begin
42 if ( manual_override ) begin
43 next_state = OPEN ; / / Manual override keeps the
door open
44 door_open = 1;
45 door_closed = 0;
46 end else if (! motion_detected ) begin
47 next_state = CLOSED ; / / No motion , close the door
48 door_open = 0;
door_closed = 1;
49
a
ph
50 end else begin
Al

51 next_state = OPEN ; / / Keep door open if motion


detected
m

52 door_open = 1;
a
Te

53 door_closed = 0;
54 end
By

55 end
56
d
te

57 default : begin
ea

58 next_state = CLOSED ; / / Default state should be closed


Cr

59 door_open = 0;
60 door_closed = 1;
61 end
62 endcase
63 end
64 endmodule
2.4 Testbench

Listing 2: Automatic Door Controller


1

2 module t b _ a u t o m a t i c _ d o o r _ c o n t r o l l e r () ;
3 logic clk , reset ;
4 logic motion_detected , manual_override ;
5 logic door_open , door_closed ;
6

7 a u t o m a t i c _ d o o r _ c o n t r o l l e r uut (
8 . clk ( clk ) ,
9 . reset ( reset ) ,
10 . motion_detected ( motion_detected ) ,
11 . manual_override ( manual_override ) ,
12 . door_open ( door_open ) ,
13 . door_closed ( door_closed )
14 );
15

16 / / Clock generation
17 initial begin
18 clk = 0;
19 forever #5 clk = ~ clk ; / / 10 ns clock period
20 end
a
ph
21

/ / Test scenario
Al

22

23 initial begin
m

24 reset = 1; motion_detected = 0; manual_override = 0; / / Start


a

with reset
Te

25 #10 reset = 0; / / Deassert reset


By

26

27 / / Test 1: Door opens when motion is detected


d

#10 motion_detected = 1; / / Door should open


te

28
ea

29 #10 motion_detected = 0; / / Door should close


Cr

30

31 / / Test 2: Door remains open with manual override


32 #10 manual_override = 1; / / Manual override opens door
33 #10 motion_detected = 0; / / No motion , door stays open due to
override
34

35 / / Test 3: Door closes when no motion and no manual override


36 #10 manual_override = 0; / / Turn off manual override
37 #10 motion_detected = 0; / / Door should close
38

39 #50 $stop ; / / Stop simulation


40 end
41

42 / / Monitor outputs
43 initial begin
44 $monitor ( " Time : %0 t | Motion Detected : % b | Manual Override :
% b | Door Open : % b | Door Closed : % b " ,
45 $time , motion_detected , manual_override , door_open ,
door_closed ) ;
46 end
47 endmodule
3 Results
3.1 Simulation

a
ph
Al

Figure 1: Simulation of Automatic Door Controller


am
Te
By

3.2 Schematic
d
te
ea
Cr

Figure 2: Schematic of Automatic Door Controller

3.3 Synthesis Design


Figure 3: Synthesis Design of Automatic Door Controller

a
ph
4 Advantages of Automatic Door Controller
Al
m

• Convenience: Hands-free operation for easy access.


a
Te

• Improved Hygiene: Reduces physical contact, minimizing germ spread.


By

• Energy Efficiency: Limits unnecessary door openings, conserving energy.


d

• Enhanced Accessibility: Assists people with disabilities or mobility challenges.


te
ea

• Increased Security: Integrates with authentication systems for controlled access.


Cr

• Smart Integration: Connects with home automation systems.


• Aesthetic Appeal: Adds a modern, sleek look to buildings.
• Low Maintenance: Requires minimal upkeep once installed.

5 Disadvantages of Automatic Door Controller


• High Initial Cost: Installation and setup can be expensive.
• Maintenance Requirements: Sensors and motors may need regular servicing.
• Sensor Sensitivity Issues: Can be triggered by false movements or environmental factors.

• Security Risks: Vulnerable to unauthorized access if not properly secured.


• Power Dependence: Requires a constant power supply, with potential issues during power out-
ages.
• Complex Installation: May require specialized knowledge for installation and integration.

• Mechanical Wear: Motors and moving parts can wear out over time.
6 Applications of Automatic Door Controller
• Residential Buildings: Enhances convenience and accessibility in smart homes.
• Commercial Spaces: Used in malls, offices, and airports for smooth entry and exit.
• Healthcare Facilities: Improves hygiene and accessibility in hospitals and clinics.
• Industrial Settings: Provides hands-free operation in cleanrooms and secure areas.

• Hotels: Offers easy access to rooms and facilities without physical contact.
• Public Transport: Used in buses, trains, and stations for efficient movement.

7 Conclusion
The Automatic Door Controller is a modern solution that enhances convenience, accessibility, and se-
curity across various settings. It provides a hands-free, energy-efficient way to manage door operations,
reducing physical contact, improving hygiene, and making spaces more accessible for people with dis-
abilities or those carrying items. Its integration with authentication systems further enhances security,
making it ideal for both commercial and residential applications.

Despite its many advantages, challenges like high initial costs, maintenance, and sensor reliability need
to be considered. However, with continuous advancements in technology, these issues can be mitigated,
a
ph
making automatic door controllers a valuable addition to smart buildings and modern infrastructure.
Whether in high-traffic areas, healthcare facilities, or secure industrial environments, the system’s ben-
Al

efits make it a worthwhile investment for improved functionality and safety.


a m
Te
By
d
te
ea
Cr
8 FAQs
1. What is an Automatic Door Controller?
• An automatic door controller is a system that operates doors automatically based on sensors,
allowing hands-free opening and closing for convenience, security, and energy efficiency.
2. How does the automatic door work?

• The system uses sensors (motion, infrared, or pressure) to detect a person’s presence and trigger
the door to open. Once the person has passed, the door closes automatically after a set time.
3. What types of sensors are used in automatic doors?
• Common sensors include infrared (IR) sensors, ultrasonic sensors, motion detectors, and pressure
sensors to detect movement or proximity.

4. Is the automatic door system secure?


• Yes, the system can be integrated with security features such as RFID, biometric scanners, or
keypads to restrict access and ensure that only authorized individuals can pass through.
5. Can automatic doors be used in all environments?

• Yes, but the environment should be considered, as sensors may be affected by weather conditions,
dust, or extreme temperatures, especially in outdoor or industrial settings.
a
ph
6. What are the benefits of using an automatic door controller?
Al

• It provides convenience, enhances accessibility, improves hygiene by reducing physical contact, and
m

can save energy by limiting unnecessary door openings.


a
Te

7. What are the main disadvantages?


By

• The main disadvantages include high initial installation costs, maintenance requirements, sensor
sensitivity issues, and the need for a constant power supply.
d
te

8. Can automatic doors be integrated with smart home systems?


ea
Cr

• Yes, automatic doors can be integrated with smart home systems, allowing remote control and
monitoring through smartphones or other connected devices.
9. Are automatic doors energy-efficient?

• Yes, by minimizing unnecessary openings and closing promptly, they help maintain indoor temper-
ature control and reduce energy loss.
10. What happens if there is a power failure?
• Most automatic door systems come with battery backups or manual override options to ensure the
door can still function during power outages.

You might also like

pFad - Phonifier reborn

Pfad - The Proxy pFad of © 2024 Garber Painting. All rights reserved.

Note: This service is not intended for secure transactions such as banking, social media, email, or purchasing. Use at your own risk. We assume no liability whatsoever for broken pages.


Alternative Proxies:

Alternative Proxy

pFad Proxy

pFad v3 Proxy

pFad v4 Proxy