Starrc Ds
Starrc Ds
StarRC
Prime- 3DIC
Time Compiler
Static Multi-Die
Timing Design &
Analysis Analysis
Prime- PrimeSim
Shield RA
Robustness EM & IR
Analysis Analysis
StarRC & QuickCap
Golden Parasitic
Extraction
Library Circuit
Charact. Simulation
PrimeLib PrimeSim
Thermal Tx Level
Analysis STA
Prime-
NanoTime
Power
synopsys.com
Synopsys StarRC Solution
Semiconductor process technology has been continually scaling down for the past four decades and the trend continues. Shrinking
process geometries, combined with the use of new device structures like FinFETs and an increasing number of metal layers at each
new process node, are introducing millions of new parasitic effects in designs. In addition, soaring design sizes and complexities are
increasing the sensitivity of circuits to parasitics due to the increasing impact on signal timing, noise, and power. To ensure a successful
silicon design and meet tapeout schedules, IC designers need an advanced parasitic extraction solution that delivers signoff accuracy
and increased designer productivity. Furthermore, they need a solution that is versatile enough to manage the full design spectrum
from custom digital, analog/mixed-signal (AMS) to full-chip memory and SoC designs. Synopsys’ StarRC is the proven high-accuracy
and high-performance parasitic extraction solution for digital and custom IC implementation and signoff verification (Figure 2).
Trusted by hundreds of semiconductor companies and used in thousands of production designs, Synopsys StarRC provides sub-
femtofarad-accurate technology for design at advanced process technologies. It achieves its high accuracy by performing detailed
modeling of device and interconnect parasitic effects in nanometer process technologies. The advanced modeling and accuracy is
complemented with the embedded Rapid3D™ field solver technology for circuits that require even higher accuracy. Synopsys StarRC
delivers industry-leading performance and capacity for users’ gate-level and transistor-level extraction needs. Synopsys StarRC’s multi-
core distributed processing technology delivers excellent scalability for efficient utilization of available hardware, and its simultaneous
multi-corner extraction (SMC) feature allows the increasing number of extraction corners required for analysis to be processed within
a single run with significantly reduced runtime and disk usage. Its seamless integrations with Synopsys IC Compiler™ II place-and-
route solution, Synopsys Fusion Compiler™ RTL-to-GDSII solution, Synopsys PrimeTime® golden static timing analysis (STA) solution,
Synopsys Custom Compiler™ design environment, Synopsys IC Validator™ physical verification solution, Synopsys PrimeSim™ circuit
simulation technologies, and other third-party implementation and signoff tools enables users to significantly accelerate their design
implementation and verification.
StarRC
Benefits
• Foundry gold standard for extraction accuracy with broadest qualification and adoption
• Leader in advanced modeling, including FinFET and color-aware multi-patterning at 10nm/7nm/5nm/3nm and beyond.
• High performance and capacity for gate and transistor-level extraction, enabled by multi-core distributed processing and
simultaneous multi-corner extraction
• Tightly integrated with industry leading Synopsys IC Compiler II and Synopsys PrimeTime solutions for faster full-flow ECO
turn-around time
• Unified Rapid3D fast field solver for critical net, IP, and custom circuit extraction
2
• Advanced netlist reduction features for faster simulation turn-around time
• Inductance extraction for high frequency digital RLC clock net analysis
• 3DIC extraction solution for interposer and stacked die technologies
• Integration with Synopsys IC Validator physical verification solution, Synopsys PrimeSim circuit simulation technologies,
Synopsys Custom Compiler design environment and other third-party implementation and custom design solutions for increased
designer productivity
FinFET Modeling
Even more radical changes are introduced by 16nm/14nm FinFET transistor architecture. In contrast with planar transistors, FinFETs
are able to achieve better control over the source-drain channel because the gate encloses the channel on three sides, resulting
in higher mobility, greater drive strength, lower switching currents and lower leakage currents. But this multi-gate, non-planar
architecture also introduces more complex co-vertical geometries and many new capacitive elements that must be accurately
extracted due to their impact on circuit performance. Synopsys StarRC uses a uniquely detailed FinFET physical profile derived from
Synopsys QuickCap® NX’s field solver technology for 3D modeling of layout-dependent middle-end-ofline (MEOL) parasitic effects
(Figure 2b) for increased accuracy. At 10nm and 7nm, new materials and geometries are being introduced to FinFETs to reduce
operating voltage while improving transistor performance. Due to its advanced modeling solution, Synopsys StarRC is the extraction
tool of choice for foundries and IP developers to model new parasitic effects and ensure proper characterization of FinFET devices.
3
(a) Color-aware DPT Mask Shift Modeling
Increase Decrease
Non-color Pre-colored
V0 V0
M0 M0
Gate
A-B
A
A
A-A
A-B
Figure 3: Synopsys StarRC’s advanced 20nm DPT, 16nm/14nm FinFET, and 10nm modeling for signoff accuracy
4
5nm/4nm/3nm node Modeling
Synopsys StarRC is fully certified by all major foundries for all process flavors of 5nm, 4nm & 3nm including Gate All Around
devices. These advanced technology nodes require StarRC to support via location dependent MOS gate resistance adjustment,
MOL layer recess modeling and 5-dimensional LEE modeling. At lower single digit nanometer nodes, Synopsys StarRC handle
multiple conductors with different resistance profiles but with same capacitance profile efficiently to ensure superior TAT. Scaling of
conductors increases resistance dramatically in lower conductors and Synopsys StarRC special fracturing, node generation similar to
Synopsys QuickCap NX and via location aware resistance distribution enables accurate post layout timing and reliability analysis.
3DIC Modeling
Synopsys StarRC also supports extraction for stacked die and silicon interposer 3DIC technologies (Figure 4). Synopsys StarRC
extracts through-silicon vias (TSV) and substrates, TSV-TSV capacitive coupling, silicon interposers, micro-bump structures, and
routing layers on each die. StarRC supports modeling substrates as either floating or grounded. Synopsys StarRC’s extraction and
modeling of through-silicon vias and substrates through Synopsys’ Interconnect Technology Format (ITF) has been qualified by
several major foundries and is found in their 3DIC reference flows.
TSV
TSV
Die 2
Substrate TSV
Substrate Substrate
Front side M1 M1
C4 bumps
BGA balls
Die 1 Die 2
Microbump
µbumps pseudo via
interposer
Front side
Silicon
Front side
Substrate
Substrate TSV MetalN (RDL)
Back side Metal1
C4 bumps
TSV
5
Multi-Core Distributed Processing
Multi-core processor hardware has become common due to the widespread need for higher productivity. A large majority of design
jobs are run on compute farms consisting of multi-core machines, and IC designers seek design tools that harness the full potential
of their hardware network. Synopsys StarRC’s multi-core technology works seamlessly with popular commercial grid computing
management software to maximize efficiency across multi-core processors, as well as multiprocessor compute farms, to take full
advantage of available hardware. Synopsys StarRC offers high performance per CPU core, with 12X scalability on 16 cores and over
20X on 32 cores. In addition, Synopsys StarRC multi-core distributed processing provides easy-to-setup compute resource allocation,
automated design partitioning to multiple cores, balanced load sharing, and automatic failure recovery for a superior fault-tolerant
server environment
4x
3.6x
3.1x 3.0x
3x 2.7x 2.9x
2.3x 2.3x Runtime speedup
2x
Disk reduction
1x
0x
2M lnst 15M lnst 300M lnst 150M lnst
4 corners 8 corners 8 corners 7 corners
8 cores 8 cores 32 cores 100 cores
6
Fast ECO Extraction
The ECO timing closure cycle has become a significant TAT issue for designers. Final design optimization which may affect only a
small portion of a chip introduces lengthy delays in tapeout schedules. In order to minimize the impact of these changes in extraction,
Synopsys StarRC fast ECO extraction allows designers to extract only those nets affected by ECO changes versus re-analyzing an
entire design. Synopsys StarRC fast ECO extraction achieves up to 5X faster extraction TAT while maintaining the same signoff
accuracy as full extraction. Synopsys StarRC is also tightly integrated with Synopsys PrimeTime STA solution and Synopsys Fusion
Compiler RTL-to-GDSII solution, allowing designers to achieve faster ECO turn-around time across their entire digital implementation
and signoff flow. Synopsys Fusion Compiler directly updates Synopsys StarRC with ECO database changes for faster identification of
ECO affected nets. Synopsys PrimeTime also directly reads Golden Parasitic Data (GPD) from Synopsys StarRC, eliminating the need
for separate SPEF netlists to be generated by Synopsys StarRC and input into Synopsys PrimeTime.
Need for low defect rates and long-term reliability of the various Integrated circuits added another layer of complexity to the design
closure process. Synopsys PrimeSim Reliability Analysis solution offers a comprehensive solution for electromigration/IR drop
analysis, high sigma Monte Carlo, MOS aging, and analog fault simulation. Synopsys StarRC’s unique ability to understand the
design topology allows it to extract conductor and via elements of any analog design in accordance with the Synopsys PrimeSim RA
requirements to support robustness analysis (missing vias, static IR etc.,), reliability analysis (Electromigration, self-heating analysis,
multi-mode analysis), and thermal analysis.
7
Custom AMS Design Platform Integration
Synopsys StarRC is integrated with the Synopsys Custom Compiler environment and with Cadence®Virtuoso® Analog Design
Environment (ADE) for custom AMS and custom digital designs. Synopsys StarRC and Synopsys Custom Compiler offer users the
unique benefits of an OpenAccess interface combined with the ease-of-use of the Synopsys CustomDesign Family solutions using a
common data flow. Synopsys Custom Compiler users can measure parasitics with Synopsys StarRC directly from the layout canvas
while they work. This shortens the time to design closure by catching parasitic issues early during layout. Synopsys Custom Compiler
users can also use “partial layout extraction” flow with Synopsys StarRC and Synopsys IC Validator, which enables designers perform
early parasitic simulations before the layout is complete. For the Virtuoso environment, Synopsys StarRC generates OpenAccess or
Cadence DFII database parasitic views for netlisting and simulation, compatible with common netlisting interfaces used within ADE.
Synopsys StarRC offers full parasitic probing capabilities within the parasitic view or within the matching schematic view (Figure
6). The parasitic prober allows users to interactively observe point-to-point resistance, total net capacitance, net-to-net coupling
capacitance and cross-probing between schematic and parasitic views. It also provides the ability to output probed parasitics to an
ASCII report file, and to annotate parasitic view total capacitance values to an associated schematic view.
StarRC FS flow
(QuickCap Inside)
ITF nxtgrd
QuickCap Techfile
StarRC
(QTF)
Characterization
Process Modeling
• 10nm/7nm color-aware multi-patterning
• Via coverage resistance variation modeling
• FinFET 3D modeling
• Color-aware double patterning
• Trench contact modeling
• Inductance extraction for high frequency clock nets
• Embedded 3D field solver
• 3DIC, Silicon Interposer TSV modeling
• Via etch modeling
• Width- and spacing-dependent thickness variation
• Density-based thickness variation
8
• Width- and spacing-dependent resistance per square (RPSQ) variation
• RPSQ variation as function of silicon width
• Nonlinear RPSQ variation
• Trapezoidal polygon support
• Copper interconnect, local interconnect modeling
• Low-K dielectric, silicon on insulator (SOI) modeling
• Conformal dielectric process support
• Via cap extraction
• Layer etch effects
• Temperature-dependent resistance modeling for conducting layers and vias
• Support of background dielectric
• Nonlinear via resistance modeling
• 45-degree routing support
• Support of multiple inter-layer and intralayer dielectric
• Support for co-vertical conductors
• Support for non-planarized metal
9
Schematic view Layout view
Parasitic Parasitic
cross-probing cross-probing
Simulation waveform
Figure 7: Synopsys StarRC integration with custom design environments, such as Synopsys Custom Complier
Specifications
File Format Support
Synopsys StarRC supports the following industry standard formats and interfaces:
• Layout data in: GDSII, LEF/DEF, Milkyway, NDM, Synopsys IC Compiler II, Synopsys Fusion Compiler, Synopsys IC Validator,
Cadence®Virtuoso® and Siemens EDA® Calibre®
Output formats: GPD, SPEF, DSPF, SPICE, OA view, Parameterized Spice, STAR and Extractive view.
Platform/OS
• IBM® RS/6000® AIX® (64)
• x86 Red Hat® Enterprise Linux® (64)
• x86 SUSE® Linux (64)
©2023 Synopsys, Inc. All rights reserved. Synopsys is a trademark of Synopsys, Inc. in the United States and other countries. A list of Synopsys trademarks is
available at http://www.synopsys.com/copyright.html . All other names mentioned herein are trademarks or registered trademarks of their respective owners.
04/13/23.CS1038890626-StarRC-Q1FY23-DS.