Occ Loc
Occ Loc
Abstract—This paper presents a hybrid automatic test pattern Index Terms—Aligned launch-on-capture, at-speed scan
generation (ATPG) technique using the staggered launch-on- testing, double-capture, hybrid launch-on-capture, launch-on-
capture (LOC) scheme followed by the one-hot LOC scheme capture, one-hot launch-on-capture, staggered launch-on-capture.
for testing delay faults in a scan design containing asynchronous
clock domains. Typically, the staggered scheme produces small
test sets but needs long ATPG runtime, whereas the one-hot I. Introduction
scheme takes short ATPG runtime but yields large test sets. The
CAN DESIGN is a design-for-testability (DFT) technique
proposed hybrid technique is intended to reduce test pattern
count with acceptable ATPG runtime for multi-million-gate scan
designs. In case the scan design contains multiple synchronous
S in which the storage elements in a sequential circuit
are converted into scan cells and then these scan cells are
clock domains, each group of synchronous clock domains is stitched together to form scan chains during scan testing [1]–
treated as a clock group and tested using a launch aligned or [5]. By reconfiguring all storage elements into scan cells, the
a capture aligned LOC scheme. By combining these schemes
together, we found the pattern counts for two large industrial
complexity of automatic test pattern generation (ATPG) for
designs were reduced by approximately 1.7X to 2.1X, while the sequential circuits is transformed to that of manageable ATPG
ATPG runtime was increased by 10% to 50%, when compared for combinational circuits. Since the late 1990s, scan design
to the one-hot clocking scheme alone. has become the most widely used DFT technique.
In recent years, with shrinking device geometry due to
Manuscript received September 11, 2009; revised September 7, 2010; advances in design and manufacturing technologies, circuits
accepted September 15, 2010. Date of current version February 11, 2011. This containing millions or tens of millions of logic gates have
work was supported in part by the National Science Foundation of America,
under Grant CCF-0541103, and by the Japan Society for the Promotions of become common. While the scan design technique has offered
Science Grant-in-Aid for Scientific Research (B) 22300017. This paper was many benefits, it is now becoming a bottleneck for such
recommended by Associate Editor F. Lombardi. large designs due to the associated explosive increase in
S. Wu is with SynTest Technologies, Inc., Princeton, NJ 08550 USA, and
also with the Department of Creative Informatics, Kyushu Institute of Tech- test data volume. To fully detect defects in manufactured
nology, Iizuka, Fukuoka 820-8502, Japan (e-mail: shianlingwu@syntest.com). chips, the amount of scan test data can easily overflow the
L.-T. Wang is with SynTest Technologies, Inc., Sunnyvale, CA 94086 USA, storage capacity of automatic test equipment (ATE). These all
and also with the Department of Electrical Engineering, National Taiwan
University, Taipei 106, Taiwan (e-mail: wang@syntest.com). contribute to an increase in test cost.
X. Wen is with the Department of Creative Informatics, Kyushu Traditionally, one of the most popular capture-clocking
Institute of Technology, Iizuka, Fukuoka 820-8502, Japan (e-mail: schemes is one-hot clocking, in which every clock domain
wen@cse.kyutech.ac.jp).
Z. Jiang is with the ATPG Research and Development Group, SynTest is tested one by one. This scheme, however, often only saves
Technologies, Inc., Sunnyvale, CA 94086 USA (e-mail: zjiang@syntest.com). ATPG runtime but results in much more test patterns than
L. Tan, Y. Zhang, and L. Yu are with SynTest Technologies, Inc., Shanghai expected. Another scheme is simultaneous clocking in which
201200, China (e-mail: ltan@syntest.com.cn; yzhang@syntest.com.cn;
lzyu@syntest.com.cn). all clock domains are tested in parallel as long as data
Y. Hu is with the Institute of Computing Technology, Chinese Academy of propagating across clock domains are marked with unknown
Sciences, Beijing 100190, China (e-mail: huyu@ict.ca.cn). (X) values whenever needed during ATPG. This scheme can
W.-B. Jone is with the Department of Electrical and Computer En-
gineering, University of Cincinnati, Cincinnati, OH 45221 USA (e-mail: result in small pattern count but may lead to significant fault
wjone@ececs.uc.edu). coverage loss caused by the Xs.
M. S. Hsiao is with the Department of Electrical and Computer Engineering, In this paper, we first propose two capture-clocking
Virginia Polytechnic Institute and State University, Blacksburg, VA 24061
USA (e-mail: mhsiao@vt.edu). schemes, namely aligned clocking and staggered clocking,
J. C.-M. Li and J.-L. Huang are with the Department of Electrical which can be used to remedy the problems found in one-hot
Engineering and the Graduate Institute of Electronics Engineering, Na- clocking and simultaneous clocking. For ease of explanation,
tional Taiwan University, Taipei 106, Taiwan (e-mail: cmli@cc.ee.ntu.edu.tw;
jlhuang@cc.ee.ntu.edu.tw). we consider only delay faults, such as transition and path-delay
Digital Object Identifier 10.1109/TCAD.2010.2092510 faults. Aligned clocking is mainly used for testing synchronous
0278-0070/$26.00
c 2011 IEEE
456 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 30, NO. 3, MARCH 2011
B. Simultaneous Launch-on-Capture
II. Background The long test time problem of one-hot LOC can be resolved
There are two basic capture-clocking schemes for testing by using the simultaneous LOC scheme illustrated in Fig. 3.
multiple clock domains at-speed: 1) launch-on-capture (LOC), The simultaneous LOC scheme allows testing to be performed
and 2) launch-on-shift (LOS). LOC was referred to as broad- on all clock domains in parallel, which is quite helpful when
side in [6] or double-capture in [4]. LOS was referred to as clock domains do not interact with each other. For clock
skewed-load in [7]. Both schemes are helpful in detecting domains where data may propagate from one clock domain
structural faults and delay faults within each clock domain to the other, the values of source scan cells in the originating
(called intra-clock-domain faults) or across clock domains clock domains will have to be forced to Xs during ATPG
(called inter-clock-domain faults). Delay faults include tran- in order to avoid any pattern mismatch. This could cause
sition faults and path-delay faults. significant fault coverage loss.
WU et al.: USING LAUNCH-ON-CAPTURE FOR TESTING SCAN DESIGNS CONTAINING SYNCHRONOUS AND ASYNCHRONOUS CLOCK DOMAINS 457
D. Staggered-Followed-by-One-Hot ATPG
The hybrid approach is to apply the staggered LOC scheme
in the first phase and the one-hot LOC scheme in the second
phase. During the first phase, all clock groups are specified in
a predetermined, sequential, or staggered order. ATPG is then
performed based on the given staggered order. In order to
reduce ATPG runtime, circuit expansion based on the ordered
sequences of clock groups is done on the scan design during
a preprocessing step. Since the staggered clocking scheme
specifically deploys physically disjoint capture clock pulses
from different clock domains (in our case, different clock
Fig. 8. Clock order when GCD1 is larger than GCD2 . (a) In descending
order. (b) In ascending order. groups), there is no need to insert Xs at the fanout branches
of each originating flip–flop in any originating clock domain.
Therefore, this staggered approach will not create unnecessary
can be done earlier, resulting in better fault coverage, pattern Xs, complicating response compaction in a compression de-
count, and ATPG runtime overall. sign. Since staggered clocking can cause the ATPG program
Assume the gate count of grouped clock domain GCD1 to mark hard-detected faults as untestable or undetected due
is larger than that of grouped clock domain GCD2 , to the ordered sequence of clock groups, the second phase
Fig. 8(a) and (b) shows the clock order of CK1 (controlling running one-hot ATPG is required to detect those missed
GCD1 ) and CK2 (controlling GCD2 ) in descending order and faults.
ascending order, respectively. During ATPG, fault coverage, pattern count, and ATPG
runtime are closely monitored in the program to determine the
C. Clock Specification timing to switch over from staggered ATPG to one-hot ATPG.
The identified ordered clock groups can now be used for The switch-over criteria of this two-phase capture-clocking
capture-clocking using the basic LOC schemes described scheme can be made more intelligent, e.g., by monitoring the
in the previous two sections. During ATPG, we specify the increment in fault coverage and runtime versus pattern count
clock pulses in the capture window according to the given or a percentage of faults already processed. All such rule sets
clock order. For instance, in Fig. 8(a), we can specify the two are used in the program to automatically determine a switch-
clocks and GSE as over point that achieves a balance between ATPG runtime and
pattern count.
%CK1 = “010100000”;
%CK2 = “000001010”;
V. Experimental Results
%GSE = “000000000.”
The proposed hybrid staggered-followed-by-one-hot LOC
Similarly, in Fig. 5, we can specify the clock order as scheme has been applied to many industrial designs. We
present two large designs in the range of 1–5 million primitives
%CK1 = “01010001000000”; to illustrate the effectiveness of the proposed scheme.
%CK2 = “01100110000000”;
%CK3 = “01111000011110”; A. Design Statistics
%GSE = “00000000000000.” Table I summarizes the statistics of the two designs. We
developed a program to identify all independent clock groups.
The idea on specifying the clocks in the above format is A clock group consists of the clocks that do not interact
to allow for the ATPG program to properly perform circuit with each other or control a group of synchronous clock
expansion (time-frame expansion) prior to ATPG, depending domains. This allows all clocks in the clock group to be
on whether some clocks may have overlapping or nonover- activated simultaneously during capture without suffering from
lapping clock pulses. For example, 10 rather than 14 time any clock skew issue. In the experiments, we then performed
frames will be expanded for the ATPG process of Fig. 5 shown ATPG based on the number of clock groups identified by the
above, so as not to have two or more consecutive clock phases program.
(columns), like “0100” or “0010.” This is particularly helpful As an LOC scheme is employed for testing scan designs
when an aligned LOC approach is used for testing synchronous and an internal PLL-triggered on-chip test clock is often
clock domains. used to control a clock domain, the proposed hybrid LOC
460 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 30, NO. 3, MARCH 2011
TABLE I TABLE IV
Design Statistics Hybrid Clocking Results on Two Industrial Designs
scheme presented here would not have any additional impact The ATPG runtime, on the other hand, was increased by
on design and its implementations. One only has to ensure that approximately 50%. On the contrary, for Design B, the pattern
in the staggered approach, enough delay is inserted between count using one-hot clocking alone given in Table III is 2.10
interacting clock domains so data can propagate from an (= 39099/18649) times the pattern count using the hybrid
originating domain to all receiving domains. approach given in Table IV. The ATPG runtime, on the other
The one-hot clocking and staggered clocking schemes were hand, was increased by approximately 10%.
first applied independently to the two industrial designs listed
in Table I. Only intra-clock-domain transition faults are con- C. Comparison
sidered. The computer used was a 64-bit based PC operating Table V shows the ATPG results using hybrid clocking
at 2.5 GHz under the Linux operating system. with the gate counts in the five clock groups processed in
descending and ascending orders for Design A. ATPG in
B. Results descending order means that a clock group with the largest
Tables II and III summarize the test application results. gate count is captured first. The result indicates that performing
We consider only transition faults existing between flip–flops ATPG based on the descending order of the gate counts of all
within each clock domain. The results show that one-hot clock groups yields smaller pattern count and ATPG runtime
clocking leads to shorter ATPG runtime and higher fault than on the ascending order. The reason was mainly due to
coverage but larger pattern count than staggered clocking. This reduced sequential depth, as explained in Section IV-B. By
is expected as staggered clocking can result in sequentially making larger sized clock groups receive their clock pairs
untestable faults. earlier, faults inside these clock groups would not have to
The results using the proposed hybrid clocking scheme justify through other smaller sized clock groups. This will lead
on Designs A and B are listed in Table IV. In our experi- to higher fault coverage, smaller pattern count, and shorter
ments, staggered clocking is automatically switched to one- ATPG runtime.
hot clocking after the switch-over criteria are met. The first
column shows the circuit name. In the next three columns, D. Summary
fault coverage, pattern count, and ATPG runtime are associated In summary, the applications results show that: 1) proper
with three numbers each. The first number is the result using clock grouping and clock ordering help reduce pattern count,
staggered clocking, the second using one-hot clocking, and the and 2) the proposed hybrid scheme on average can yield
third is the sum of the two steps. 1.7X to 2.1X reduction in pattern count as compared to using
For Design A, the pattern count using one-hot clocking the one-hot scheme alone. One-hot clocking, however, has
alone given in Table II is 1.77 (= 8309/4697) times the the benefit of shorter ATPG runtime. Hence, we recommend
pattern count using the hybrid approach given in Table IV. simply using one-hot ATPG at the early development stage
WU et al.: USING LAUNCH-ON-CAPTURE FOR TESTING SCAN DESIGNS CONTAINING SYNCHRONOUS AND ASYNCHRONOUS CLOCK DOMAINS 461
to have a feel of the fault coverage and pattern count of [5] L.-T. Wang, C. E. Stroud, and N. A. Touba, Eds., System-on-Chip Test
Architectures: Nanometer Design for Testability. San Francisco, CA:
the design. When the design is being taped out, the hybrid Morgan Kaufmann, 2007.
scheme is then run to reduce pattern count. Since the same [6] J. Savir and S. Patil, “Broad-side delay test,” IEEE Trans. Comput.-Aided
maximum fault coverage can be reached as one-hot clocking, Design, vol. 13, no. 8, pp. 1057–1064, Aug. 1994.
[7] J. Savir and S. Patil, “Scan-based transition test,” IEEE Trans. Comput.-
the proposed hybrid scheme provides an ideal solution to Aided Design, vol. 12, no. 8, pp. 1232–1241, Aug. 1993.
reduce manufacturing test cost. [8] S. Wang, X. Liu, and S. T. Chakradhar, “Hybrid delay scan: A low hard-
ware overhead scan-based delay test technique for high fault coverage
and compact test sets,” in Proc. IEEE/ACM Design, Autom. Test Eur.
VI. Conclusion Conf., Feb. 2004, pp. 1296–1301.
Modern scan designs can contain tens of millions of logic [9] J. Abraham, U. Goel, and A. Kumar, “Multi-cycle sensitizable transition
delay faults,” in Proc. IEEE VLSI Test Symp., Apr.–May 2006, pp. 306–
gates and dozens of clock domains. When a scan design 313.
contains a mix of synchronous and asynchronous clock do- [10] Z. Zhang, S. M. Reddy, I. Pomeranz, X. Lin, and J. Rajski, “Scan tests
mains, the conventional one-hot LOC scheme for capture- with multiple fault activation cycles for delay faults,” in Proc. IEEE
VLSI Test Symp., Apr.–May 2006, pp. 343–348.
clocking results in very large test sets. This can substantially [11] N. Ahmed and M. Tehranipoor, “Improving transition delay test using a
increase both test application time and scan test cost. While hybrid method,” IEEE Design Test Comput., vol. 23, no. 5, pp. 402–412,
the simultaneous LOC scheme could be used to reduce pattern Sep.–Oct. 2006.
[12] G. Xu and A. D. Singh, “Delay test scan flip–flop: DFT for high
count, the loss of fault coverage and applicability to test coverage delay testing,” in Proc. Int. Conf. VLSI Des., Jan. 2007, pp.
compression could be unacceptable. 763–768.
In this paper, we first presented an aligned LOC scheme, ei- [13] G. Xu and A. D. Singh, “Achieving high transition delay fault coverage
with partial DTSFF scan chains,” in Proc. IEEE Int. Test Conf., Oct.
ther launch aligned or capture aligned, for testing synchronous 2007, pp. 1–9.
domains in a scan design. We next presented a staggered [14] I. Park and E. J. McCluskey, “Launch-on-shift-capture transition tests,”
LOC scheme for testing asynchronous domains. After clock in Proc. IEEE Int. Test Conf., Oct. 2008, pp. 1–9.
[15] G. Hetherington, T. Fryars, N. Tamarapalli, M. Kassab, A. Hassan,
grouping, we then presented a hybrid ATPG technique that and J. Rajski, “Logic BIST for large industrial designs: Real is-
combines staggered LOC and one-hot LOC clocking schemes sues and case studies,” in Proc. IEEE Int. Test Conf., Sep. 1999,
together. The hybrid staggered-followed-by-one-hot scheme pp. 358–367.
[16] M. Beck, O. Barondeau, M. Kaibel, F. Poehl, X. Lin, and R. Press,
resulted in 1.7X to 2.1X reduction in pattern count with ATPG “Logic design for on-chip test clock generation: Implementation details
runtime increase by approximately 10% to 50%, compared and impact on delay test quality,” in Proc. IEEE/ACM Design Autom.
to the one-hot scheme alone, on two large industrial scan Test Eur. Conf., Mar. 2005, pp. 56–61.
[17] H. Furukawa, X. Wen, L.-T. Wang, B. Sheu, Z. Jiang, and S. Wu,
designs that contain asynchronous clock groups. Because one- “A novel and practical control scheme for inter-clock at-speed testing,”
hot clocking is always used after staggered clocking, the in Proc. IEEE Int. Test Conf., Oct. 2006, pp. 1–10.
hybrid scheme causes no loss in fault coverage. [18] X.-X. Fan, Y. Hu, and L.-T. Wang, “An on-chip test clock control scheme
for multi-clock at-speed testing,” in Proc. IEEE Asian Test Symp., Oct.
It should be noted that novel, commercial ATPG approaches, 2007, pp. 341–348.
such as those proposed in [25] and [26] for detecting stuck-at [19] B. Keller, A. Uzzaman, B. Li, and T. Snethen, “Using programmable on-
faults, may also be applicable for testing delay faults. Although product clock generation (OPCG) for delay test,” in Proc. IEEE Asian
Test Symp., Oct. 2007, pp. 69–72.
we are unable to compare the results, we predict that the [20] L.-T. Wang, P.-C. Hsu, S.-C. Kao, M.-C. Lin, H.-P. Wang, H.-J. Chao,
proposed hybrid scheme could result in smaller pattern count, and X. Wen, “Multiple-capture DFT system for detecting or locating
because the patented staggered clocking scheme is applied to crossing clock-domain faults during scan-test,” U.S. Patent 7 260 756,
Aug. 21, 2007.
all asynchronous clock domains in the first phase. [21] L.-T. Wang, P.-C. Hsu, S.-C. Kao, M.-C. Lin, H.-P. Wang, H.-J. Chao,
and X. Wen, “Multiple-capture DFT system for detecting or locating
Acknowledgment crossing clock-domain faults during self-test or scan-test,” European
Patent 1 360 513, Apr. 2, 2008.
The authors are grateful to the anonymous referees for [22] L.-T. Wang, X. Wen, S. Wu, H. Furukawa, H.-J. Chao, B. Sheu, J.
pointing out unclear descriptions of this paper and giving Guo, and W.-B. Jone, “Using launch-on-capture for testing BIST designs
containing synchronous and asynchronous clock domains,” IEEE Trans.
constructive suggestions. Comput.-Aided Des., vol. 29, no. 2, pp. 299–312, Feb. 2010.
[23] L.-T. Wang, K. S. Abdel-Hafez, X. Wen, B. Sheu, and S.-M. Wang,
References “Smart capture for ATPG (automatic test pattern generation) and fault
simulation of scan-based integrated circuits,” U.S. Patent 7 124 342,
[1] M. Abramovici, M. A. Breuer, and A. D. Friedman, Digital Systems Oct. 17, 2006.
Testing and Testable Design. Piscataway, NJ: IEEE Press, 1990. [24] K. S. Abdel-Hafez, L.-T. Wang, B. Sheu, Z. Wang, and Z. Jiang,
[2] M. L. Bushnell and V. D. Agrawal, Essentials of Electronic Testing for “Method for performing ATPG and fault simulation in a scan-based
Digital, Memory and Mixed-Signal VLSI Circuits. Boston, MA: Springer, integrated circuit,” U.S. Patent 7 210 082, Apr. 24, 2007.
2000. [25] V. Jain and J. Waicukauski, “Scan test data volume reduction in multi-
[3] N. K. Jha and S. K. Gupta, Testing of Digital Systems. London, U.K.: clocked designs with safe capture technique,” in Proc. IEEE Int. Test
Cambridge University Press, 2003. Conf., Oct. 2002, pp. 148–153.
[4] L.-T. Wang, C.-W. Wu, and X. Wen, Eds., VLSI Test Principles and [26] X. Lin and R. Thompson, “Test generation for designs with multiple
Architectures: Design for Testability. San Francisco, CA: Morgan Kauf- clocks,” in Proc. IEEE/ACM Design Autom. Conf., Jun. 2003, pp. 662–
mann, 2006. 667.
462 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 30, NO. 3, MARCH 2011
Shianling Wu (S’88–M’09) received the M.S. de- holds 23 U.S. patents and five Japanese patents in logic built-in self-test,
gree in computer science from Columbia University, test compression, and low-capture-power (LCP) test generation. His current
New York, NY. research interests include design, test, and diagnosis of integrated circuits.
She joined SynTest Technologies, Inc., Princeton, Dr. Wen is a member of the IEICE, IPSJ, and REAJ. He received the 2008
NJ, in 2003, and is currently the Vice President of IEICE-ISS Best Paper Award for LCP X-filling/test generation.
Engineering focusing on advanced very large scale
integration design-for-testability (DFT) research and
development. Prior to SynTest, she was with Bell Zhigang Jiang received the B.S. degree from
Laboratories, Madison, WI, for over 23 years. In the Department of Electrical Engineering, Tsinghua
2008, she was with the Department of Creative In- University, Beijing, China, in 1995, the M.S. degree
formatics at Kyushu Institute of Technology, Iizuka, from the Department of Electrical Engineering, San
Fukuoka, Japan, where she is now a Ph.D. candidate. She currently holds Jose State University, San Jose, CA, in 1997, and
five U.S. patents and has three pending U.S. patents. She has published over the Ph.D. degree from the Department of Electrical
15 DFT papers and contributed chapters to two DFT textbooks: VLSI Test Engineering, University of Southern California, Los
Principles and Architectures in 2006 and Electronic Design Automation in Angeles, in 2005.
2009. He currently manages the ATPG Research and De-
Ms. Wu has served as a Program Committee Member for the IEEE velopment Group, SynTest Technologies, Sunnyvale,
International Test Conference, the Asian Test Symposium, and the North CA. His current research interests include design for
Atlantic Test Workshop. She won numerous AT&T and Lucent Awards testability, built-in self-test, fault diagnosis, and design of high-performance
and received the Best Panel Award with her panelists in the 2005 IEEE computer-aided design tools.
International Test Conference. She was a member of SEMATECH, SRC,
GSRC, STARC-International, VSIA, and the IEEE1500 Standard Committee.
Lang Tan received the B.S. degree in computer
Laung-Terng Wang (M’87–SM’04–F’08) received science from Central South University, Changsha,
the B.S.E.E. and M.S.E.E. degrees from National China, in 2004, and the M.S. degree from the
Taiwan University, Taipei, Taiwan, in 1975 and Department of Computer Science, Shanghai Jiaotong
1977, respectively, and the M.S.E.E. and E.E.Ph.D. University, Shanghai, China, in 2007.
degrees under the Honors Cooperative Program from He is currently a Research and Development En-
Stanford University, Stanford, CA, in 1982 and gineer with SynTest Technologies, Inc., Shanghai.
1987, respectively. His current research interests include design for
He has been the Chairman and Chief Executive testability, test compression, low-power testing, and
Officer with SynTest Technologies, Inc., Sunnyvale, fault diagnosis.
CA, since January 1990, and a Visiting Professor
with the Department of Electrical Engineering and
the Graduate Institute of Electronics Engineering, National Taiwan University
since July 2009. Prior to founding SynTest in 1990, he held several positions Yu Zhang received the B.S. degree from the De-
in industry, including Intel, Santa Clara, CA, from 1980 to 1983, and partment of Computer Science, Anhui University,
Daisy Systems, Mountain View, CA, from 1983 to 1986, and was with the Hefei, China, in 2005, and the M.S. degree from
Department of Electrical Engineering, Stanford University, as a Research the Department of Computer Science, University of
Associate and Lecturer from 1987 to 1991. He currently holds 28 U.S. Science and Technology of China, Hefei, in 2008.
patents, 15 European patents, one Japanese patent, and one Chinese patent He is currently a Research and Development En-
in the areas of scan synthesis, test generation, at-speed scan testing, test gineer with the ATPG Group, SynTest Technologies,
compression, logic built-in self-test, and design for debug-and-diagnosis. The Inc., Shanghai, China. His primary research interests
design-for-testability technologies developed by him have been successfully include design for testability, fault modeling, test
implemented in thousands of application-specific integrated circuit designs generation, test compression, and low-power testing.
worldwide. He has also co-authored and co-edited three internationally used
DFT/EDA textbooks: VLSI Test Principles and Architectures in 2006, System-
on-Chip Test Architectures in 2007, and Electronic Design Automation in
2009. Yu Hu (M’06) received the B.S., M.S., and Ph.D.
Dr. Wang is a member of Sigma Xi. He received the 2007 Meritorious degrees, all in electrical engineering from the Uni-
Service Award from the IEEE Computer Society and was a co-recipient of versity of Electronic Science and Technology of
the 2008 IEICE Information and Systems Society Excellent Paper Award for China, Chengdu, China, in 1997, 1999, and 2003,
an excellent series of papers that appeared in the IEICE Transactions on respectively.
Information and Systems during a period of 5 years. He is a Golden Core She is currently an Associate Professor with the In-
Member of the IEEE Computer Society, and is a member of the 2010 IEEE stitute of Computing Technology, Chinese Academy
Computer Society Fellow Evaluation Committee. of Sciences, Beijing, China. Her current research
interests include reliable design, fault diagnosis, and
testing.
Xiaoqing Wen (S’89–M’93–SM’08) received the Dr. Hu is a member of ACM, IEICE, and CCF.
B.E. degree in computer science and technology
from Tsinghua University, Beijing, China, in 1986,
the M.E. degree in information engineering from Wen-Ben Jone (M’84–SM’02) was born in Taipei,
Hiroshima University, Hiroshima, Japan, in 1990, Taiwan. He received the B.S. degree in computer sci-
and the Ph.D. degree in applied physics from Osaka ence and the M.S. degree in computer engineering,
University, Osaka, Japan, in 1993. both from National Chiao-Tung University, Hsinchu,
From 1993 to 1997, he was an Assistant Professor Taiwan, in 1979 and 1981, respectively, and the
with Akita University, Akita, Japan. He was a Vis- Ph.D. degree in computer engineering and science
iting Researcher with the University of Wisconsin, from Case Western Reserve University, Cleveland,
Madison, from October 1995 to March 1996. He OH, in 1987.
joined SynTest Technologies, Inc., Sunnyvale, CA, in 1998, and served as In 1987, he joined the Department of Computer
its Chief Technology Officer until 2003. In 2004, he joined the Department Science, New Mexico Institute of Mining and Tech-
of Creative Informatics, Kyushu Institute of Technology, Iizuka, Fukuoka, nology, Socorro, where he was promoted as an
Japan, where he is currently a Professor. He co-authored and co-edited two Associate Professor in 1992. From 1993 to 2000, he was a Full Professor
books: VLSI Test Principles and Architectures: Design for Testability (San with the Department of Computer Engineering and Information Science,
Francisco, CA: Morgan Kaufmann, 2006) and Power-Aware Testing and Test National Chung-Cheng University, Chiayi, Taiwan. Since 2001, he has been
Strategies for Low Power Devices (New York: Springer, 2009). He currently an Associate Professor with the Department of Electrical and Computer
WU et al.: USING LAUNCH-ON-CAPTURE FOR TESTING SCAN DESIGNS CONTAINING SYNCHRONOUS AND ASYNCHRONOUS CLOCK DOMAINS 463
Engineering, University of Cincinnati, Cincinnati, OH. He was a Visiting James Chien-Mo Li (S’93–M’02) received the
Scholar with the Institute of Information Science, Academia Sinica, Taipei, B.S.E.E. degree from National Taiwan University,
Taiwan, and with the Department of Computer Science and Engineering, Taipei, Taiwan, in 1993, and the M.S.E.E. and Ph.D.
Chinese University of Hong Kong, Shatin, Hong Kong. His current research degrees in electrical engineering from Stanford Uni-
interests include very large scale integration design for testability and reliabil- versity, Stanford, CA, in 1997 and 2002, respec-
ity, low-power circuit design and test, and computer architecture and parallel tively.
processing. He is currently an Associate Professor with the
Dr. Jone was a co-recipient of the 2003 IEEE Donald G. Fink Prize Paper Graduate Institute of Electronics Engineering, Na-
Award. He was also a co-recipient of the Best Paper Award of the 2008 tional Taiwan University. His current research in-
International Symposium on Low-Power Electronics and Design. terests include design for testability, built-in self-
testing, low-power testing, and fault diagnosis.